Part Number Hot Search : 
84332M AD1845JP HD44860 MCRO2000 AN5304 VS2TSG TC401 1013B
Product Description
Full Text Search
 

To Download 1981288 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
PM73488
QSE
10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK
REFERENCE DESIGN
RELEASED ISSUE 3: NOVEMBER 1999
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
PUBLIC REVISION HISTORY Issue No. 1 2 Issue Date Details of Change
Dec 1998 Document created. Jan 1999 Added Schematics Added BOM Added Implementation Description
3
Oct 1999
Added PLD Section Updated BOM Added AC Coupling caps to serial receivers Added cPCI interface
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
CONTENTS 1 2 3 4 5 6 7 8 FEATURES .............................................................................................. 1 APPLICATIONS ....................................................................................... 2 REFERENCES......................................................................................... 3 APPLICATION EXAMPLES ..................................................................... 4 BLOCK DIAGRAM ................................................................................... 6 DESCRIPTION ........................................................................................ 7 FUNCTIONAL DESCRIPTION................................................................. 8 IMPLEMENTATION DESCRIPTION ........................................................ 9 8.1 8.2 8.3 8.4 8.5 8.6 8.7 8.8 8.9 9 10 11 CPU INTERFACE (SHEETS 3-5)................................................. 9 MULTICAST CIRCUIT (SHEETS 10 &17)..................................... 9 SWITCH CONNECTORS (SHEETS 5 &6) ................................... 9 RECEIVE SERIALIZERS (SHEETS 11,12,18 &19) ...................... 9 QSE SWITCH ELEMENTS (SHEETS 13,14,20, 21 & 24) .......... 10 TRANSMITTER SERIALIZERS (SHEETS 15, 16, 22 & 23) ....... 10 LED DISPLAY (SHEET 25) ......................................................... 10 MISCELLANEOUS CIRCUITRY (SHEET 26) ............................. 10 POWER SUPPLY ISSUES...........................................................11
SCHEMATICS........................................................................................ 12 BILL OF MATERIALS............................................................................. 13 PLD CONTENTS ................................................................................... 17
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
i
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
LIST OF FIGURES FIGURE 1 - SINGLE STAGE 10 GBIT/S ATM SWITCH APPLICATION ........... 4 FIGURE 2 - THREE STAGE 40 GBIT/S ATM SWITCH APPLICATION ............ 5
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
ii
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
LIST OF TABLES TABLE 1 - BILL OF MATERIALS .................................................................. 13
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
iii
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
1
FEATURES * * * Two PM73488 QSE 5Gbit/s ATM Switching Fabric Element devices to perform cell switching. Interfaces to multiple PM73488 QSE reference designs or PM73487 QRT reference designs to create larger switch fabrics. Each QSE reference design may be connected to up to 8 other QSE or QRT reference designs at the input ports and 8 QSE or QRT reference designs at the output ports. High speed serializer/deserializer I/O's decrease inter-board pin count and increases switch design flexibility.
*
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
1
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
2
APPLICATIONS * * * * Core Switches LAN Switches Edge Switches Enterprise Switches
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
2
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
3
REFERENCES 1. PMC-Sierra, Inc., PMC-980616, "PM73488 QSE 5 Gbit/s ATM Switch Fabric Element Datasheet", Issue 2, October 1998. 2. PMC-Sierra, Inc., PMC-980618, "PM73487 QRT 622 Mbps ATM Traffic Management Device Datasheet", Issue 2, October 1998. 3. PMC-Sierra, Inc., PMC-990330, "ATM Switch using S/UNI-ATLAS, QRT, and QSE", Issue 2, July 1999.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
3
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
4
APPLICATION EXAMPLES The PM73488 QSE device is a 32x32 ATM switch device capable of peak bandwidth of 8 Gbits/s and a sustainable bandwidth of 5 Gbit/s. The device is intended for use with other QSE devices to form a larger switch fabric. The QSE supports unicast cells with multiple priorities. To ensure switch fabric performance, the QSE ATM switch element utilizes randomization and "Evil Twin" switching to prevent build up of internal hot spots. The PM73488 QSE reference design features two QSE devices which may be used alone to create a 64x64 10 Gbit/s switch or with other QSE reference designs to make larger switch fabrics. Note, in the case of a 64x64 fabric, the port cards would utilize two PM73487 QRT devices and a compatible serialization strategy. Multiple configurations are possible allowing a variety of bandwidth capacities and port numbers. Two such implementations are shown below in figure 1 and figure 2. Figure 1 - Single Stage 10 Gbit/s ATM Switch Application QSE Reference Design
PM73488 QSE PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
x16
PM73488 QSE
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
4
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
Figure 2
- Three Stage 40 Gbit/s ATM Switch Application
QSE Reference Design QSE Reference Design QSE Reference Design
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#16 Input
x16
PM73488 PM73488 QSE QSE
8
PM73488 PM73488 QSE QSE
8
PM73488 PM73488 QSE QSE
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#16 Output
x16
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#32 Input
x16
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#32 Output
x16
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#48 Input
x16
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#48 Output
x16
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#64 Input
x16
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73488 PM73488 QSE QSE
PM73487 PM73487 PM73487 PM73487 QRT QRT QRT QRT
#64 Output
x16
Figure 1 shows a single stage switch implemented using only one QSE reference design. Figure 2 shows a 40 Gbit/s three stage fabric using 12 QSE reference designs. Inherently, the three stage design allows for a greater number of paths from input to output. At the switch fabric inputs and outputs, the QSE reference design interfaces to QRT reference designs.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
5
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
5
BLOCK DIAGRAM
Microprocessor Bus BP_ACK_IN[31:0] BP ACK OUT[31:0] BP ACK IN[31:0] BP_ACK_OUT[31:0]
CELL_24_START CELL START SE_CLK
High Speed Serial Data
High Speed Serial Data
8
8
8
8
Gigabit Serial Trancievers with Serial/Parallel Conversion 32 32
QSE/QRT SIGNALS QSE/QRT I/F
Gigabit Serial Trancievers with Serial/Parallel Conversion 32 32
QSE/QRT SIGNALS
Microprocessor Bus
BP_ACK_iN[31:0] BP_ACK_OUT[31:0] uP I/F
BP_ACK_iN[31:0] BP_ACK_OUT[31:0]
QSE/QRT I/F
PM73488 QSE
SE_CLK
PM73488 QSE
SE_CLK
uP I/F
CELL_START CELL_24_START
CELL_START CELL_24_START
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
6
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
6
DESCRIPTION
The PM73488 QSE Reference Design is a basic switch element designed to allow flexible and simple design of larger ATM switch fabrics. The reference design features two PM73488 QSE 5 Gbit/s ATM Switching Element devices allowing a 10 Gbit/s switch to be implemented on a single reference design. Each QSE device features 32 input and output ports. In an effort to minimize the off-card interconnect requirements the data and SOC signals for 4 ports are serialized into high speed serial streams. Therefore each QSE is supported by 8 sets of serializer and deserializers devices. The serial streams may be connected to other QSE and QRT devices which also utilize serializers. The BP_ACK signals are not serialized and are handled in a more conventional manner. Timing references SE_CLK, CELL_START, and CELL_24_START are derived from off board sources and are global within the switching fabric.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
7
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
7
FUNCTIONAL DESCRIPTION
As shown in the block diagram the QSE switch card consists primarily of 2 identical independent QSE circuits. Each QSE has 32 input ports and 32 output ports each consisting of a 6 pin interface. In order to minimize interconnect requirements Gigabit serializer and deserializer devices are utilized. The transmit serializers used are 3.3 volt devices which can generate high-speed data links between switch elements. These devices are capable of accepting 17 bits of parallel data at a SE_CLK (66 MHz) data rate and serialize it into a differential data stream at 20 times the SE_CLK rate utilizing a PLL multiplying technique. The extra bits are used to convey clocking, control, and synchronization information. The receive serializers are also 3.3 volt devices, and are capable of receiving Gigabit rate data streams via a differential pair inputs and resolving them into 17 bits of parallel data. They utilize PLL technology in order to synchronize to the incoming data stream and to extract the data information. The incoming rate is actually 20 times the parallel word rate. The other bits are used for clocking, control, and synchronization information. These devices are operated with their PASSENB mode off in this particular application. The receivers extract the data from the stream, and emit the data to the QSE devices at the rate determined by the recovered clock. Since the QSE devices operate with their phase aligners on, there is no requirement that the data be phase aligned to the SE_CLK signal. However, the recovered clock will be frequency locked to the SE_CLK, since the entire system is driven from the same clock source. The back pressure acknowledge signals are not serialized in this design. There is one BP_ACK_IN and one BP_ACK_OUT associated with each port of the QSE. These signals are sent in the opposite direction from the data, and therefore do not lend themselves to efficient serialization. The BP_ACK_OUT signals are registered before leaving the card in order to increase the bus drive capability. The QSE devices receive these signals with phase aligners so timing constraints are minimal.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
8
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
8 8.1
IMPLEMENTATION DESCRIPTION CPU Interface (sheets 3-5)
The 2 QSE devices are controlled via a CPCI interface. CPCI signals arrive via the P1 connector, and are routed to a PLX 9050 PCI slave interface device. This device simplifies connection of peripherals to a CPCI master. The 9050 supplies a local bus of its own to the QSE devices. Appropriate control signals, along with dedicated interrupt and acknowledge signals, and chip select signals are used to control all QSE transactions with the CPU.
8.2 Multicast Circuit (sheets 10 &17)
Each QSE has an external multicast RAM circuit. This RAM is completely accessed and operated via dedicated QSE pins. This RAM can be either a 2 MB or 4 MB device depending upon number of multicast groups to be supported. If less than 128 multicast groups are required then this RAM need not be installed. The RAM interface operates at 100 MHz and must be considered a high speed circuit for PCB design rules.
8.3 Switch Connectors (sheets 5 &6)
Switch data information is conveyed in and out of the card by AMP HS3 family highspeed, high-density connectors. These devices are strip-line devices with a characteristic impedance of 50 ohms and are characterized for high-speed digital data, as well as Gigabit differential serial streams.
8.4 Receive Serializers (sheets 11,12,18 &19)
Each QSE has 8 receive serializers, one for each QSE group. They accept differential data from off the card via the HS3 connectors and convert it to a parallel 17 bit data bus. This contains 4 ports of data information and one SOC status. The SOC status signal is sent to all 4 ports of the switch group. This is acceptable since all 4 ports of the data passes through the serializers originated with identical SOC timing. This data is then presented to the QSE input ports. The deserializers satisfy the skew requirements of the QSE devices when operated with phase aligners on.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
9
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
8.5
QSE Switch Elements (sheets 13,14,20, 21 & 24)
Each QSE accepts data from the receive serializers. This data flows through the QSE devices and emerges on one of the 32 output ports. The QSE devices supply only one output SOC for each 4 ports of data. There are 32 BP_ACK_OUT signals per QSE, which are registered before they leave the switch card. These are to be supplied to upstream switch elements to inform the sending entity of the disposition of incoming cells. There are 32 BP_ACK_IN signals per QSE. These are used to inform the QSE devices of how exiting cells were accepted by downstream switch elements. These inputs are supplied directly to the QSE devices without additional buffering.
8.6 Transmitter Serializers (sheets 15, 16, 22 & 23)
Each group of data emerging from the QSE devices, which consists of 4 nibbles of port data and one SOC, are immediately serialized into Gigabit differential data streams. This requires 8 serializers per QSE. These devices require a phase aligned SE_CLK in order to clock the data into the serializers. These high speed serial streams then exit the card via the appropriate data connector.
8.7 LED Display (sheet 25)
A LED display is provided in order to display the status of the serializer devices. Each transmit serializers supplied a LOCKED output which indicates the transmit PLL is sucessfully locked to the parallel clock rate. Each receiver supplies a RXREADY signal which indicates word alignment has established an error free history. Each receiver also provides a RXERROR signal that indicates there is something wrong with the current word received. These 48 status signals are displayed 8 at a time on the status LEDS. Another 3 LEDs are utilized to indicate which group of 8 status signals are currently being displayed. A front panel momentary switch is used to select which group of LEDS is currently displayed. Another LED is utilized to indicate if any of the 48 status signals is indicating a failure condition. Specifics regarding this display information is supplied in the section that describes the PLD source code.
8.8 Miscellaneous Circuitry (sheet 26)
The SE_CLK signal is the main clock for the switch card. This signal is brought in and buffered to create the numerous SE_CLK required for card operation. These are utilized throughout the card. Care must be taken to control the clock skew between the QSE devices, the transmit serializers, and the ack registers. This is accomplished by matching the lengths of the clock lines.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
10
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
The switch card requires cell start information for the QSE devices. These are generated off card as CELL_START and CELL_24_START. They are registered on card and then supplied to the QSE devices, J6 is a 20 pin right angle connector which is located on the front card edge. This connector is compatible with HP logic analyzer probes. Several important signals can be verified via this connector.
8.9 Power Supply Issues
Most of the devices on the switch card are high-speed and consequently operate with high edge rates. In addition the QSE devices can switch many outputs simultaneously. The HP devices are by nature extremely sensitive to extraneous noise due to the analog nature of their PLL circuitry. Because of these issues, proper bypass methodology must be employed. Each power supply pin for all devices must be properly bypassed. The HP devices have a separate power supply for analog portion of the parts. These pins are supplied via separate power and ground planes. The reference between the ground planes is established off the card. The analog pins require their own bypass capacitors. Status LEDs are provided for both power supplies to indicate if the voltages are present. These do not reflect the accuracy of the voltages, but merely their presence.
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
11
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
9
SCHEMATICS
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
12
A
B
C
D
E
4
4
3
2
PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE PAGE
1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28.
CONTENTS BLOCK DIAGRAM PCI CONNECTOR PCI BRIDGE HS SERIAL CONNECTOR 1 HS SERIAL CONNECTOR 2 ACK CONNECTOR 1 ACK CONNECTOR 2 ACK CONNECOTR 3 QSE0 CPU & MULTICAST CKT QSE0 RCVR SERIALIZERS (GRP0-3) QSE0 RCVR SERIALIZERS (GRP4-7) QSE0 SWITCH ELEMENTS (GRP0-3) QSE0 SWITCH ELEMENTS (GRP4-7) QSE0 XMTR SERIALIZERS (GRP0-3) QSE0 XMTR SERIALIZERS (GRP4-7) QSE1 CPU & MULTICAST CKT QSE1 RCVR SERIALIZERS (GRP0-3) QSE1 RCVR SERIALIZERS (GRP4-7) QSE1 SWITCH ELEMENTS (GRP0-3) QSE1 SWITCH ELEMENTS (GRP4-7) QSE1 XMTR SERIALIZERS (GRP0-3) QSE1 XMTR SERIALIZERS (GRP4-7) ACK OUT REGISTERS LED DISPLAY MISC CIRCUITS POWER (VDD) POWER (VDDA)
3
2
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
CONTENTS
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
1
of
28
A
B
C
D
E
4
SOC & 4 PORTS
HS_SER_IN
8 x 17
SOC & 4 PORTS
8 x 17
HS_SER_OUT
8 PR
4
8 PR
8 RCVR SER
STATUS
8 XMT SER
STATUS
SE_CLK
QSE 0 CKT
BP_ACK_OUT
32
REG
BP_ACK_OUT
32
BP_ACK_IN
32
SE_CLK
SE_CLK
3 3
SOC & 4 PORTS
HS_SER_IN
8 x 17
SOC & 4 PORTS
8 x 17
HS_SER_OUT
8 PR
8 PR
8 RCVR SER
STATUS
8 XMT SER
STATUS
SE_CLK
2
QSE 1 CKT
BP_ACK_OUT
32
REG
BP_ACK_OUT
2
32
BP_ACK_IN
32
SE_CLK
SE_CLK
SE_CLK
SE_CLK
CELL_24_START CELL_START
SW CNTL INTF
CELL_24_START CELL_START TO QSE's
LED STATUS DISPLAY
BD_CNT
1
PCI BUS
PCI BRIDGE
ADD/DATA/CNTL
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
BLOCK DIAGRAM
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
2
of
28
A
B
C
D
E
4
4
VCC
VDD
VDD_AIN1
P1
AD29
3
ID_SEL AD17 /FRAME AD15 AD9 AD4
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 F1 F3 F5 F7 F9 F11 F13 F15 F17 F19 F21
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 F1 F3 F5 F7 F9 F11 F13 F15 F17 F19 F21
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E15 E16 E17 E18 E19 E20 E21 E22 E23 E24 E25
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E15 E16 E17 E18 E19 E20 E21 E22 E23 E24 E25
/INTA AD30 AD26 AD21 AD18 C/BE3 AD[31-0] C/BE[3-0] AD[31-0] C/BE[3-0]
3
/DEV_SEL /SERR AD12 AD7 AD1
PCI_CLK AD25 AD20 /STOP PARITY AD11 AD6 AD0
2
/RST AD28 AD23 AD16 /IRDY AD14 AD8 AD3
2
AD31 AD27 AD24 AD22 AD19
C/BE2 /TRDY /LOCK /PERR
AD13 AD10 AD5 AD2
C/BE1 C/BE0
352068-1
COMPACT PCI CONNECTOR
1
1
PMCS-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
PCI CONNECTOR
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
3
of
28
A
B
C
D
E
VDD
R1 R2 R3 R4 R5 R6 R7 R8 R9 R10 R11 R12 R13 R14 R15 R16 R17 R18 R19 R20 R21 R22 R23 R24 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K
4 4
LAD10
LAD11
LAD12
LAD13
LAD14
LAD15
LAD16
LAD17
LAD18
LAD19
LAD20
LAD21
LAD22
LAD23
LAD24
LAD25
LAD26
LAD27
LAD28
LAD29
LAD30
VCC LAD[31-8]
VDD
U1 R33 10 R37 10 R41 10 R45 10 R49 10 R53 10 R57 10 R61 10
R25 R26 R27 R28 R29 R30 R31 R32 10K 10K 10K 10K 10K 10K 10K 10K 91 90 89 88 87 86 85 84 83 82 79 78 77 76 75 74 73 72 71 70 69 62 61 60 59 58 57 56 55 54 53 52 92 93 94 95 96 97 98 100 101 102 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 122 49 48 47 46 137 136 135 134 133 132 63 130 131 138 139 140 141 123 124 127 126 125 128 129 64 68 MAD0 MAD1 MAD2 MAD3 MAD4 MAD5 MAD6 MAD7 MAD[7-0]
3
AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 AD[31-0] C/BE0 C/BE1 C/BE2 C/BE3
R34 R35 R36 10 R40 10 R44 10 R48 10 R52 10 R56 10 R60 10 R72 10 R75 10 R78 10 R82 10 10 R39 10 R43 10 R47 10 R51 10 R55 10 R59 10 R71 10 R74 10 R77 10 R81 10 R85 10 R87 R88 10 10 10 R38 10 R42 10 R46 10 R50 10 R54 10 R58 10 R70 10 R73 10
43 42 39 38 37 36 35 34 32 31 30 29 28 25 24 23 11 8 7 6 5 4 3 2 157 156 155 154 153 152 151 150 33 22 12 158 21 13 14 15 17 159 16 19 20 149 148 44 18 144 143 145 142 99
AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 C/BE0 C/BE1 C/BE2 C/BE3 PAR FRAMEN IRDYN TRDYN STOPN IDSEL DEVSELN PERRN SERRN CLK RSTN INTAN LOCKN EESK EEDO EEDI EECS TEST
LAD0 LAD1 LAD2 LAD3 LAD4 LAD5 LAD6 LAD7 LAD8 LAD9 LAD10 LAD11 LAD12 LAD13 LAD14 LAD15 LAD16 LAD17 LAD18 LAD19 LAD20 LAD21 LAD22 LAD23 LAD24 LAD25 LAD26 LAD27 LAD28 LAD29 LAD30 LAD31 LA2 LA3 LA4 LA5 LA6 LA7 LA8 LA9 LA10 LA11 LA12 LA13 LA14 LA15 LA16 LA17 LA18 LA19 LA20 LA21 LA22 LA23 LA24 LA25 LA26 LA27
LAD8 LAD9 LAD10 LAD11 LAD12 LAD13 LAD14 LAD15 LAD16 LAD17 LAD18 LAD19 LAD20 LAD21 LAD22 LAD23 LAD24 LAD25 LAD26 LAD27 LAD28 LAD29 LAD30 LAD31
LAD31
LAD8 146 121 103 81 66 50 41 27 10 1 VCC VCC VCC VCC VCC VCC VCC VCC VCC VCC
LAD9
3
VDD
R62 R63 R64 R65 R66 R67 R68 R69 10K 10K 10K 10K 10K 10K 10K 10K MA0 MA1 MA2 MA3 MA4 MA5 MA6 MA7 MA[7-0]
R76 10 R79 10 R83 10
C/BE[3-0] PARITY /FRAME /IRDY /TRDY /STOP ID_SEL /DEV_SEL /PERR /SERR PCI_CLK /RST /INTA /LOCK
2
PCI 9050-1
R80 10 R84 10 R86 10
VDD
2
R101 100 U2 3 VCC R104 10K R105 100 NM93CS46EN 1 2 7 6 DI CS SK PRE PE D0 4
CONFIG EEPROM
LBE0N LBE1N LBE2N LBE3N LINT1 LINT2 LCLK LHOLD LHOLDA LRESETN BCLKO CS0N CS1N USER0/WAITON USER1/LLOCKON USER2/CS2N USER3/CS3N ADSN BLASTN LW/R RDN WRN LRDYN BTERMN ALE MODE GND GND GND GND GND GND GND GND GND GND
R89 R90 R91 R92 R93 R94 R95 R96 R97 R98 R99 R100 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K 10K
/QSE_INT
R102 33 R103 33
/RESET /QSE0_CS /QSE1_CS BCPU_CLK /PLD_CS /ADS /BRD /BWR /LRDY
R106 100
Note: Install Only One of the Following R76 (Pull-up) or R82 (Pull-down)
1
1
160 147 120 104 80 65 51 40 26 9 PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
PCI BRIDGE
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
4
of
28
A
B
C
D
E
4
4
BP_ACK REGISTERED OUTPUT FROM QSE
J6A T0_GRP0+ T0_GRP1+ T0_GRP2+ T0_GRP3+ T0_GRP4+ T0_GRP5+ T0_GRP6+ T0_GRP7+ A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 T0_GRP0T0_GRP1T0_GRP2T0_GRP3T0_GRP4T0_GRP5T0_GRP6T0_GRP7GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
J6D GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10
GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
120673-1 ZPACK HS3
3
120673-1 ZPACK HS3
3
J6B C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
J6E
C1 C2 C3 C4 C5 C6 C7 C8 C9 C10
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10
GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
120673-1 ZPACK HS3
120673-1 ZPACK HS3
2
2
J6C R0_GRP0+ R0_GRP1+ R0_GRP2+ R0_GRP3+ R0_GRP4+ R0_GRP5+ R0_GRP6+ R0_GRP7+ E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 R0_GRP0R0_GRP1R0_GRP2R0_GRP3R0_GRP4R0_GRP5R0_GRP6R0_GRP7-
E1 E2 E3 E4 E5 E6 E7 E8 E9 E10
F1 F2 F3 F4 F5 F6 F7 F8 F9 F10
120673-1 ZPACK HS3
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
HS SERIAL CONNECTOR 1
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
5
of
28
A
B
C
D
E
4
4
BP_ACK REGISTERED OUTPUT FROM QSE
VDD_AIN2 J5A T1_GRP0+ T1_GRP1+ T1_GRP2+ T1_GRP3+ T1_GRP4+ T1_GRP5+ T1_GRP6+ T1_GRP7+ A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 T1_GRP0T1_GRP1T1_GRP2T1_GRP3T1_GRP4T1_GRP5T1_GRP6T1_GRP7GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10 J5D GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10
GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
120673-1 ZPACK HS3
3
120673-1 ZPACK HS3
3
J5B C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
J5E
C1 C2 C3 C4 C5 C6 C7 C8 C9 C10
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10
GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
120673-1 ZPACK HS3
120673-1 ZPACK HS3
2
2
J5C R1_GRP0+ R1_GRP1+ R1_GRP2+ R1_GRP3+ R1_GRP4+ R1_GRP5+ R1_GRP6+ R1_GRP7+ E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 R1_GRP0R1_GRP1R1_GRP2R1_GRP3R1_GRP4R1_GRP5R1_GRP6R1_GRP7-
E1 E2 E3 E4 E5 E6 E7 E8 E9 E10
F1 F2 F3 F4 F5 F6 F7 F8 F9 F10
120673-1 ZPACK HS3
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
HS SERIAL CONNECTOR 2
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
6
of
28
A
B
C
D
E
4
BP0_ACK_OUTR[15-0]
BP0_ACK_OUTR[15-0]
BP0_ACK_OUTR[31-16]
BP0_ACK_OUTR[31-16]]
BP0_ACK_OUTR0 BP0_ACK_OUTR1 BP0_ACK_OUTR2 BP0_ACK_OUTR3 BP0_ACK_OUTR4 BP0_ACK_OUTR5 BP0_ACK_OUTR6 BP0_ACK_OUTR7 BP0_ACK_OUTR8 BP0_ACK_OUTR9 BP0_ACK_OUTR10 BP0_ACK_OUTR11 BP0_ACK_OUTR12 BP0_ACK_OUTR13 BP0_ACK_OUTR14 BP0_ACK_OUTR15 BP0_ACK_OUTR16 BP0_ACK_OUTR17 BP0_ACK_OUTR18 BP0_ACK_OUTR19 BP0_ACK_OUTR20 BP0_ACK_OUTR21 BP0_ACK_OUTR22 BP0_ACK_OUTR23
BP0_ACK_IN0 BP0_ACK_IN1 BP0_ACK_IN2 BP0_ACK_IN3 BP0_ACK_IN4 BP0_ACK_IN5 BP0_ACK_IN6 BP0_ACK_IN7 BP0_ACK_IN8 BP0_ACK_IN9 BP0_ACK_IN10 BP0_ACK_IN11 BP0_ACK_IN12 BP0_ACK_IN13 BP0_ACK_IN14 BP0_ACK_IN15 BP0_ACK_IN16 BP0_ACK_IN17 BP0_ACK_IN18 BP0_ACK_IN19 BP0_ACK_IN20 BP0_ACK_IN21 BP0_ACK_IN22 BP0_ACK_IN23
BP0_ACK_IN[3-0]
BP0_ACK_IN[3-0]
BP0_ ACK_IN[7-4]
BP0_ACK_IN[7-4]
BP0_ACK_IN[11-8]
BP0_ACK_IN[11-8]
4
BP0_ACK_IN[15-12]
BP0_ACK_IN[15-12]
BP0_ACK_IN[19-16]
BP0_ACK_IN[19-16]
BP0_ACK_IN[23-20]
BP0_ACK_IN[23-20]
BP_ACK INPUT TO QSE
J4A BP0_ACK_IN0 BP0_ACK_IN1 BP0_ACK_IN2 BP0_ACK_IN3 BP0_ACK_IN4 BP0_ACK_IN5 BP0_ACK_IN6 BP0_ACK_IN7
3
J4D B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 BP0_ACK_OUTR0 BP0_ACK_OUTR1 BP0_ACK_OUTR2 BP0_ACK_OUTR3 BP0_ACK_OUTR4 BP0_ACK_OUTR5 BP0_ACK_OUTR6 BP0_ACK_OUTR7 GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10 GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10
GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
3
120673-1 ZPACK HS3
120673-1 ZPACK HS3
J4B BP0_ACK_IN8 BP0_ACK_IN9 BP0_ACK_IN10 BP0_ACK_IN11 BP0_ACK_IN12 BP0_ACK_IN13 BP0_ACK_IN14 BP0_ACK_IN15 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 BP0_ACK_OUTR8 BP0_ACK_OUTR9 BP0_ACK_OUTR10 BP0_ACK_OUTR11 BP0_ACK_OUTR12 BP0_ACK_OUTR13 BP0_ACK_OUTR14 BP0_ACK_OUTR15 GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
J4E
C1 C2 C3 C4 C5 C6 C7 C8 C9 C10
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10
GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
120673-1 ZPACK HS3
2
120673-1 ZPACK HS3
2
J4C BP0_ACK_IN16 BP0_ACK_IN17 BP0_ACK_IN18 BP0_ACK_IN19 BP0_ACK_IN20 BP0_ACK_IN21 BP0_ACK_IN22 BP0_ACK_IN23 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 BP0_ACK_OUTR16 BP0_ACK_OUTR17 BP0_ACK_OUTR18 BP0_ACK_OUTR19 BP0_ACK_OUTR20 BP0_ACK_OUTR21 BP0_ACK_OUTR22 BP0_ACK_OUTR23
E1 E2 E3 E4 E5 E6 E7 E8 E9 E10
F1 F2 F3 F4 F5 F6 F7 F8 F9 F10
120673-1 ZPACK HS3
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
ACK CONNECTOR 1
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
7
of
28
A
B
C
D
E
BP0_ACK_OUTR[31-16]
4
BP0_ACK_OUTR[31-16]
BP1_ACK_OUTR[15-0]
BP1_ACK_OUTR[15-0]
BP0_ACK_OUTR24 BP0_ACK_OUTR25 BP0_ACK_OUTR26 BP0_ACK_OUTR27 BP0_ACK_OUTR28 BP0_ACK_OUTR29 BP0_ACK_OUTR30 BP0_ACK_OUTR31 BP1_ACK_OUTR0 BP1_ACK_OUTR1 BP1_ACK_OUTR2 BP1_ACK_OUTR3 BP1_ACK_OUTR4 BP1_ACK_OUTR5 BP1_ACK_OUTR6 BP1_ACK_OUTR7 BP1_ACK_OUTR8 BP1_ACK_OUTR9 BP1_ACK_OUTR10 BP1_ACK_OUTR11 BP1_ACK_OUTR12 BP1_ACK_OUTR13 BP1_ACK_OUTR14 BP1_ACK_OUTR15
BP0_ACK_IN24 BP0_ACK_IN25 BP0_ACK_IN26 BP0_ACK_IN27 BP0_ACK_IN28 BP0_ACK_IN29 BP0_ACK_IN30 BP0_ACK_IN31 BP1_ACK_IN0 BP1_ACK_IN1 BP1_ACK_IN2 BP1_ACK_IN3 BP1_ACK_IN4 BP1_ACK_IN5 BP1_ACK_IN6 BP1_ACK_IN7 BP1_ACK_IN8 BP1_ACK_IN9 BP1_ACK_IN10 BP1_ACK_IN11 BP1_ACK_IN12 BP1_ACK_IN13 BP1_ACK_IN14 BP1_ACK_IN15
BP0_ACK_IN[27-24]
BP0_ACK_IN[27-24]
BP0_ ACK_IN[31-28]
BP0_ACK_IN[31-28]
BP1_ACK_IN[3-0]
BP1_ACK_IN[3-0]
4
BP1_ACK_IN[7-4]
BP1_ACK_IN[7-4]
BP1_ACK_IN[11-8]
BP1_ACK_IN[11-8]
BP1_ACK_IN[15-12]
BP1_ACK_IN[15-12]
J3A BP0_ACK_IN24 BP0_ACK_IN25 BP0_ACK_IN26 BP0_ACK_IN27
3
J3D B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 BP0_ACK_OUTR24 BP0_ACK_OUTR25 BP0_ACK_OUTR26 BP0_ACK_OUTR27 BP0_ACK_OUTR28 BP0_ACK_OUTR29 BP0_ACK_OUTR30 BP0_ACK_OUTR31 GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10 GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
BP0_ACK_IN28 BP0_ACK_IN29 BP0_ACK_IN30 BP0_ACK_IN31
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10
GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
3
120673-1 ZPACK HS3
120673-1 ZPACK HS3
J3B BP1_ACK_IN0 BP1_ACK_IN1 BP1_ACK_IN2 BP1_ACK_IN3 BP1_ACK_IN4 BP1_ACK_IN5 BP1_ACK_IN6 BP1_ACK_IN7 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 BP1_ACK_OUTR0 BP1_ACK_OUTR1 BP1_ACK_OUTR2 BP1_ACK_OUTR3 BP1_ACK_OUTR4 BP1_ACK_OUTR5 BP1_ACK_OUTR6 BP1_ACK_OUTR7 GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
J3E
C1 C2 C3 C4 C5 C6 C7 C8 C9 C10
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10
GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
2
120673-1 ZPACK HS3
120673-1 ZPACK HS3
2
J3C BP1_ACK_IN8 BP1_ACK_IN9 BP1_ACK_IN10 BP1_ACK_IN11 BP1_ACK_IN12 BP1_ACK_IN13 BP1_ACK_IN14 BP1_ACK_IN15 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 BP1_ACK_OUTR8 BP1_ACK_OUTR9 BP1_ACK_OUTR10 BP1_ACK_OUTR11 BP1_ACK_OUTR12 BP1_ACK_OUTR13 BP1_ACK_OUTR14 BP1_ACK_OUTR15
E1 E2 E3 E4 E5 E6 E7 E8 E9 E10
F1 F2 F3 F4 F5 F6 F7 F8 F9 F10
120673-1 ZPACK HS3
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX (408) 441-7925
Title
ACK CONNECTOR 2
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
8
of
28
A
B
C
D
E
4
BP1_ACK_OUTR[31-16]
BP1_ACK_OUTR[31-16]
BP1_ACK_OUTR16 BP1_ACK_OUTR17 BP1_ACK_OUTR18 BP1_ACK_OUTR19 BP1_ACK_OUTR20 BP1_ACK_OUTR21 BP1_ACK_OUTR22 BP1_ACK_OUTR23 BP1_ACK_OUTR24 BP1_ACK_OUTR25 BP1_ACK_OUTR26 BP1_ACK_OUTR27 BP1_ACK_OUTR28 BP1_ACK_OUTR29 BP1_ACK_OUTR30 BP1_ACK_OUTR31
BP1_ACK_IN16 BP1_ACK_IN17 BP1_ACK_IN18 BP1_ACK_IN19 BP1_ACK_IN20 BP1_ACK_IN21 BP1_ACK_IN22 BP1_ACK_IN23 BP1_ACK_IN24 BP1_ACK_IN25 BP1_ACK_IN26 BP1_ACK_IN27 BP1_ACK_IN28 BP1_ACK_IN29 BP1_ACK_IN30 BP1_ACK_IN31
BP1_ACK_IN[19-16]
BP1_ACK_IN[19-16]
4
BP1_ACK_IN[23-20]
BP1_ACK_IN[23-20]
BP1_ACK_IN[27-24]
BP1_ACK_IN[27-24]
BP1_ACK_IN[31-28]
BP1_ACK_IN[31-28]
BP_ACK INPUT TO QSE
J2A BP1_ACK_IN16 BP1_ACK_IN17 BP1_ACK_IN18 BP1_ACK_IN19 BP1_ACK_IN20 BP1_ACK_IN21 BP1_ACK_IN22 BP1_ACK_IN23
3
J2D B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 BP1_ACK_OUTR16 BP1_ACK_OUTR17 BP1_ACK_OUTR18 BP1_ACK_OUTR19 BP1_ACK_OUTR20 BP1_ACK_OUTR21 BP1_ACK_OUTR22 BP1_ACK_OUTR23 GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10 GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
A1 A2 A3 A4 A5 A6 A7 A8 A9 A10
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10
GA1 GA2 GA3 GA4 GA5 GA6 GA7 GA8 GA9 GA10
GC1 GC2 GC3 GC4 GC5 GC6 GC7 GC8 GC9 GC10
3
120673-1 ZPACK HS3
120673-1 ZPACK HS3
J2B BP1_ACK_IN24 BP1_ACK_IN25 BP1_ACK_IN26 BP1_ACK_IN27 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 BP1_ACK_OUTR24 BP1_ACK_OUTR25 BP1_ACK_OUTR26 BP1_ACK_OUTR27 GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
J2E
CELL_START
C1 C2 C3 C4 C5 C6 C7 C8 C9 C10
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10
CELL_24_START
GE1 GE2 GE3 GE4 GE5 GE6 GE7 GE8 GE9 GE10
120673-1 ZPACK HS3
2
120673-1 ZPACK HS3
2
J2C BP1_ACK_IN28 BP1_ACK_IN29 BP1_ACK_IN30 BP1_ACK_IN31 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 BP1_ACK_OUTR28 BP1_ACK_OUTR29 BP1_ACK_OUTR30 BP1_ACK_OUTR31
SE_CLK
E1 E2 E3 E4 E5 E6 E7 E8 E9 E10
F1 F2 F3 F4 F5 F6 F7 F8 F9 F10
120673-1 ZPACK HS3
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
ACK CONNECTOR 3
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
9
of
28
A
B
C
D
E
4
4
U3A AK7 AK8 AH12 MA7 MA6 MA5 MA4 MA3 MA2 MA1 MA0 AJ7 AH9 AG10 AF10 AK4 AH8 AG9 AK3 AJ11 ACKN AG13 INTRN AJ9 AH10 AG11 AE13 AE12 AJ8 AF11 AK6 R107 33 MAD7 MAD6 MAD5 MAD4 MAD3 MAD2 MAD1 MAD0 U4 BD_RESET RAM0_DATA16 RAM0_DATA15 RAM0_DATA14 RAM0_DATA13 RAM0_DATA12 RAM0_DATA11 RAM0_DATA10 RAM0_DATA9 RAM0_DATA8 RAM0_DATA7 RAM0_DATA6 RAM0_DATA5 RAM0_DATA4 RAM0_DATA3 RAM0_DATA2 RAM0_DATA1 RAM0_DATA0 BCELL_24_START0 BCELL_START0 /NO_DATA_OUT /NO_DATA_IN SE_CLK_M0 VDD R111 10K AF13 F18 C22 E20 A27 B24 D22 D21 E21 E22 C23 B27 A28 C25 B26 F21 B28 F22 RESET RAM_DATA16 RAM_DATA15 RAM_DATA14 RAM_DATA13 RAM_DATA12 RAM_DATA11 RAM_DATA10 RAM_DATA9 RAM_DATA8 RAM_DATA7 RAM_DATA6 RAM_DATA5 RAM_DATA4 RAM_DATA3 RAM_DATA2 RAM_DATA1 RAM_DATA0 RAM_ADD18 RAM_ADD17 RAM_ADD16 RAM_ADD15 RAM_ADD14 RAM_ADD13 RAM_ADD12 RAM_ADD11 RAM_ADD10 RAM_ADD9 RAM_ADD8 RAM_ADD7 RAM_ADD6 RAM_ADD5 RAM_ADD4 RAM_ADD3 RAM_ADD2 RAM_ADD1 RAM_ADD0 AF12 AH1 F19 C27 E23 E24 D24 F24 D26 D25 E25 A29 B30 F26 F27 E27 G25 G27 G26 RAM0_ADD[17-0] RAM0_ADD17 RAM0_ADD16 RAM0_ADD15 RAM0_ADD14 RAM0_ADD13 RAM0_ADD12 RAM0_ADD11 RAM0_ADD10 RAM0_ADD9 RAM0_ADD8 RAM0_ADD7 RAM0_ADD6 RAM0_ADD5 RAM0_ADD4 RAM0_ADD3 RAM0_ADD2 RAM0_ADD1 RAM0_ADD0 VDD A25 RAM_WRN C21 RAM_OEN B23 RAM_CLK R110 RAM_CLK 33 10k RAM0_ADD17 RAM0_ADD16 RAM0_ADD15 RAM0_ADD14 RAM0_ADD13 RAM0_ADD12 RAM0_ADD11 RAM0_ADD10 RAM0_ADD9 RAM0_ADD8 RAM0_ADD7 RAM0_ADD6 RAM0_ADD5 RAM0_ADD4 RAM0_ADD3 RAM0_ADD2 RAM0_ADD1 RAM0_ADD0 R109 50 49 44 45 46 47 48 80 81 82 99 100 32 33 34 35 36 37 83 84 85 86 89 92 97 98 94 93 87 88 31 64 FUT_A17 A16 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 ADVN ADSPN ADSCN OEN CLK CE2N CE2 CEN WEHN WELN BWEN GWN MODE ZZ DQP2 DQP1 DQ16 DQ15 DQ14 DQ13 DQ12 DQ11 DQ10 DQ9 DQ8 DQ7 DQ6 DQ5 DQ4 DQ3 DQ2 DQ1 24 74 23 22 19 18 13 12 9 8 73 72 69 68 63 62 59 58 R108 33
/QSE0_CS /BRD /BWR
CSN RDN WRN ADD7 ADD6 ADD5 ADD4 ADD3 ADD2 ADD1 ADD0
/QSE0_ACK /QSE0_INT MAD[7-0] MAD[7-0]
MA[7-0]
MA[7-0]
DATA7 DATA6 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0
3
RAM0_DATA16 RAM0_DATA15 RAM0_DATA14 RAM0_DATA13 RAM0_DATA12 RAM0_DATA11 RAM0_DATA10 RAM0_DATA9 RAM0_DATA8 RAM0_DATA7 RAM0_DATA6 RAM0_DATA5 RAM0_DATA4 RAM0_DATA3 RAM0_DATA2 RAM0_DATA1 RAM0_DATA0
3
C2 J6 CELL_24_START E2 CELL_START K6 NO_DATA_OUTN NO_DATA_INN AJ16 SE_CLK D3 AF25 OEN SECLKBYPASS G4 B1 F4 E4 G6 N28 P25 G5 SCAN_TCK SCAN_TDI SCAN_TMS SCAN_TRSTN SCAN_ENN TEST_MODEN PLL_BYPASSN IDDTNN
F5 SCAN_TDO H5 PROC_MON
TP1 TEST_POINT TP2 TEST_POINT
Note: 1. MT58LC128K18C5LG-10 2. MT58LC256K18C5LG-10
43 DNU 42 DNU 39 DNU 38 DNU
2MB 4MB
2
R112 100
MT58LC128K18C5LG-10
2
R113 100
PMC73488 QSE
MULTICAST RAM
RAM0_DATA[16-0]
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE0 CPU & MULTICAST CKT
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
10 of
28
A
B
C
D
E
GROUP 1
VDD_A VDD
LAYOUT NOTE: SEx_SOC_IN_GRPx LINES MUST BE DAISY CHAIN & ITS LENGTH MUST MATCH THE LENGTH OF DATA LINES
C502 R0_GRP1+
4
30
16
64 57 49 42 7
40 24 9
U5
VCC_A
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
C503 .1uF .1uF
18 19
HSIN+ HSIN-
R0_GRP1-
PASS_ENB VDD R114 VDD 10K
28 29
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
GROUP 0
VDD_A
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE0_DIN_GRP1_0 SE0_DIN_GRP1_1 SE0_DIN_GRP1_2 SE0_DIN_GRP1_3 SE0_DIN_GRP1_4 SE0_DIN_GRP1_5 SE0_DIN_GRP1_6 SE0_DIN_GRP1_7 SE0_DIN_GRP1_8 SE0_DIN_GRP1_9 SE0_DIN_GRP1_10 SE0_DIN_GRP1_11 SE0_DIN_GRP1_12 SE0_DIN_GRP1_13 SE0_DIN_GRP1_14 SE0_DIN_GRP1_15
4
SE0_DIN_GRP1_[15-0]
U6 C500 R0_GRP0+ R0_GRP0.1uF .1uF 28 29 VDD R116
3
SE_CLK_R0 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE0_DIN_GRP0_0 SE0_DIN_GRP0_1 SE0_DIN_GRP0_2 SE0_DIN_GRP0_3 SE0_DIN_GRP0_4 SE0_DIN_GRP0_5 SE0_DIN_GRP0_6 SE0_DIN_GRP0_7 SE0_DIN_GRP0_8 SE0_DIN_GRP0_9 SE0_DIN_GRP0_10 SE0_DIN_GRP0_11 SE0_DIN_GRP0_12 SE0_DIN_GRP0_13 SE0_DIN_GRP0_14 SE0_DIN_GRP0_15
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP1_[15-0] SE0_SOC_IN_GRP1
30 VCC_A
16 VCC_HS
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC
SE0_RXGRP1_ERROR SE0_RXGRP1_READY
C501
18 19
HSIN+ HSIN-
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
10K
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C1 .22uF GND GND GND GND
R115 100
56 39 25 10
31
48 41 8 1
20 17
3
SE0_DIN_GRP0_[15-0]
SE_CLK_R0
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP0_[15-0] SE0_SOC_IN_GRP0
SE0_RXGRP0_ERROR SE0_RXGRP0_READY
GROUP 3
VDD_A VDD
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C2 .22uF R0_GRP3+ R0_GRP3.1uF .1uF VDD 28 29 GND GND GND GND C506 C507 18 19
30
16
64 57 49 42 7
40 24 9
U7
VCC_A
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
R117 100
HSIN+ HSIN-
RXDIV0 RXDIV1
GROUP 2
VDD_A
2
R118 VDD 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE0_DIN_GRP3_0 SE0_DIN_GRP3_1 SE0_DIN_GRP3_2 SE0_DIN_GRP3_3 SE0_DIN_GRP3_4 SE0_DIN_GRP3_5 SE0_DIN_GRP3_6 SE0_DIN_GRP3_7 SE0_DIN_GRP3_8 SE0_DIN_GRP3_9 SE0_DIN_GRP3_10 SE0_DIN_GRP3_11 SE0_DIN_GRP3_12 SE0_DIN_GRP3_13 SE0_DIN_GRP3_14 SE0_DIN_GRP3_15
56 39 25 10
31 30
48 41 8 1
20 17 16
SE0_DIN_GRP3_[15-0]
U8 C504 R0_GRP2+ R0_GRP2.1uF .1uF VDD R120 10K 22 26 23 36 35 12 11 28 29 C505 18 19 HSIN+ HSIN-
SE_CLK_R1 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE0_DIN_GRP2_0 SE0_DIN_GRP2_1 SE0_DIN_GRP2_2 SE0_DIN_GRP2_3 SE0_DIN_GRP2_4 SE0_DIN_GRP2_5 SE0_DIN_GRP2_6 SE0_DIN_GRP2_7 SE0_DIN_GRP2_8 SE0_DIN_GRP2_9 SE0_DIN_GRP2_10 SE0_DIN_GRP2_11 SE0_DIN_GRP2_12 SE0_DIN_GRP2_13 SE0_DIN_GRP2_14 SE0_DIN_GRP2_15
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP3_[15-0] SE0_SOC_IN_GRP3
2
64 57 49 42 7
40 24 9
SE0_RXGRP3_ERROR SE0_RXGRP3_READY
VCC_A
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
RXDIV0 RXDIV1
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C3 .22uF GND GND GND GND
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
R119 100
56 39 25 10
31
48 41 8 1
20 17
SE0_DIN_GRP2_[15-0]
SE_CLK_R1
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP2_[15-0] SE0_SOC_IN_GRP2
SE0_RXGRP2_ERROR SE0_RXGRP2_READY
1
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
R121 100
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C4 .22uF PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-776 FAX: (408) 441-7925
Title
1
GND GND GND GND 56 39 25 10
31
20 17
48 41 8 1
QSE0 RCVR SERIALIZERS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
11 of
28
A
B
C
D
E
GROUP 5
VDD_A VDD
30
16
64 57 49 42 7
40 24 9
U9 C510 R0_GRP5+
4
VCC_A
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
C511 .1uF .1uF
18 19
HSIN+ HSIN-
R0_GRP5-
PASS_ENB VDD
28 29
RXDIV0 RXDIV1
GROUP 4
VDD_A VDD
R122 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE0_DIN_GRP5_16 SE0_DIN_GRP5_17 SE0_DIN_GRP5_18 SE0_DIN_GRP5_19 SE0_DIN_GRP5_20 SE0_DIN_GRP5_21 SE0_DIN_GRP5_22 SE0_DIN_GRP5_23 SE0_DIN_GRP5_24 SE0_DIN_GRP5_25 SE0_DIN_GRP5_26 SE0_DIN_GRP5_27 SE0_DIN_GRP5_28 SE0_DIN_GRP5_29 SE0_DIN_GRP5_30 SE0_DIN_GRP5_31
4
SE0_DIN_GRP1_[31-16]
U10 C508 R0_GRP4+ R0_GRP4.1uF .1uF VDD R124
3
SE_CLK_R2 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE0_DIN_GRP4_16 SE0_DIN_GRP4_17 SE0_DIN_GRP4_18 SE0_DIN_GRP4_19 SE0_DIN_GRP4_20 SE0_DIN_GRP4_21 SE0_DIN_GRP4_22 SE0_DIN_GRP4_23 SE0_DIN_GRP4_24 SE0_DIN_GRP4_25 SE0_DIN_GRP4_26 SE0_DIN_GRP4_27 SE0_DIN_GRP4_28 SE0_DIN_GRP4_29 SE0_DIN_GRP4_30 SE0_DIN_GRP4_31
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP5_[31-16] SE0_SOC_IN_GRP5
30 VCC_A
16 VCC_HS
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC
SE0_RXGRP5_ERROR SE0_RXGRP5_READY
C509
18 19
HSIN+ HSIN-
28 29
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
10K
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C5 .22uF GND GND GND GND
R123 100
56 39 25 10
31
48 41 8 1
20 17
3
SE0_DIN_GRP0_[31-16]
SE_CLK_R2
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP4_[31-16] SE0_SOC_IN_GRP4
SE0_RXGRP4_ERROR SE0_RXGRP4_READY
GROUP 7
VDD_A VDD
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C6 .22uF R0_GRP7+ R0_GRP7.1uF .1uF VDD 28 29 GND GND GND GND C514 C515 18 19
30 VCC_A
16
64 57 49 42 7
40 24 9
U11
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
R125 100
HSIN+ HSIN-
RXDIV0 RXDIV1
GROUP 6
VDD_A
2
R126 VDD 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE0_DIN_GRP7_16 SE0_DIN_GRP7_17 SE0_DIN_GRP7_18 SE0_DIN_GRP7_19 SE0_DIN_GRP7_20 SE0_DIN_GRP7_21 SE0_DIN_GRP7_22 SE0_DIN_GRP7_23 SE0_DIN_GRP7_24 SE0_DIN_GRP7_25 SE0_DIN_GRP7_26 SE0_DIN_GRP7_27 SE0_DIN_GRP7_28 SE0_DIN_GRP7_29 SE0_DIN_GRP7_30 SE0_DIN_GRP7_31
56 39 25 10
31 30 VCC_A U12 C512 R0_GRP6+ R0_GRP6.1uF .1uF VDD R128 10K 22 26 23 36 35 12 11 28 29 C513 18 19 HSIN+ HSINRXDIV0 RXDIV1 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
48 41 8 1 64 57 49 42 7
HDMP-1034 RCVR
20 17 16 VCC_HS
SE0_DIN_GRP3_[31-16]
SE_CLK_R3 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE0_DIN_GRP6_16 SE0_DIN_GRP6_17 SE0_DIN_GRP6_18 SE0_DIN_GRP6_19 SE0_DIN_GRP6_20 SE0_DIN_GRP6_21 SE0_DIN_GRP6_22 SE0_DIN_GRP6_23 SE0_DIN_GRP6_24 SE0_DIN_GRP6_25 SE0_DIN_GRP6_26 SE0_DIN_GRP6_27 SE0_DIN_GRP6_28 SE0_DIN_GRP6_29 SE0_DIN_GRP6_30 SE0_DIN_GRP6_31
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE0_DIN_GRP7_[31-16] SE0_SOC_IN_GRP7
2
40 24 9 VCC VCC VCC RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15 RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1 R127 100 SE0_DIN_GRP6_[31-16] SE0_DIN_GRP6_[31-16] SE0_SOC_IN_GRP6 SE0_RXGRP6_ERROR SE0_RXGRP6_READY
SE0_RXGRP7_ERROR SE0_RXGRP7_READY
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS 20 17 GND_A 31
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C7 .22uF GND GND GND GND 56 39 25 10
48 41 8 1
SE_CLK_R3
1
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C8 .22uF PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-776 FAX: (408) 441-7925
Title
1
GND GND GND GND
R129 100
56 39 25 10
31
20 17
48 41 8 1
QSE0 RCVR SERIALIZERS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
12 of
28
A
B
C
D
E
GROUP 0
U3B
4
SE0_SOC_IN_GRP0
BP0_ACK_IN0 SE0_DIN_GRP0_0 SE0_DIN_GRP0_1 SE0_DIN_GRP0_2 SE0_DIN_GRP0_3 BP0_ACK_IN1 SE0_DIN_GRP0_4 SE0_DIN_GRP0_5 SE0_DIN_GRP0_6 SE0_DIN_GRP0_7 BP0_ACK_IN2 SE0_DIN_GRP0_8 SE0_DIN_GRP0_9 SE0_DIN_GRP0_10 SE0_DIN_GRP0_11 BP0_ACK_IN3 SE0_DIN_GRP0_12 SE0_DIN_GRP0_13 SE0_DIN_GRP0_14 SE0_DIN_GRP0_15
BP0_ACK_IN[3-0]
BP0_ACK_IN[3-0]
SE0_DIN_GRP0_[15-0]
SE0_DIN_GRP0_[15-0]
SOC_IN0 BP_ACK_IN0 E19 D20 P0_D_IN0 A23 P0_D_IN1 C19 P0_D_IN2 P0_D_IN3 C18 K30 SOC_IN1 BP_ACK_IN1 D18 A24 P1_D_IN0 A21 P1_D_IN1 B20 P1_D_IN2 P1_D_IN3 A20 G30 S0C_IN2 BP_ACK_IN2 F17 E18 P2_D_IN0 F16 P2_D_IN1 B19 P2_D_IN2 P2_D_IN3 D16 N27 S0C_IN3 BP_ACK_IN3 E17 B18 P3_D_IN0 E16 P3_D_IN1 D17 P3_D_IN2 P3_D_IN3
B22 L29
N26 SOC_OUT0 AB5 BP_ACK_OUT0 P26 P0_D_OUT0 L30 P0_D_OUT1 M29 P0_D_OUT2 R25 P0_D_OUT3 BP_ACK_OUT1 AF2
4
BP0_ACK_OUT0 SE0_DOUT_GRP0_0 SE0_DOUT_GRP0_1 SE0_DOUT_GRP0_2 SE0_DOUT_GRP0_3 BP0_ACK_OUT1 SE0_DOUT_GRP0_4 SE0_DOUT_GRP0_5 SE0_DOUT_GRP0_6 SE0_DOUT_GRP0_7 BP0_ACK_OUT2 SE0_DOUT_GRP0_8 SE0_DOUT_GRP0_9 SE0_DOUT_GRP0_10 SE0_DOUT_GRP0_11 BP0_ACK_OUT3 SE0_DOUT_GRP0_12 SE0_DOUT_GRP0_13 SE0_DOUT_GRP0_14 SE0_DOUT_GRP0_15
SE0_SOC_OUT_GRP0
GROUP 1
U3C B15 J29 SOC_IN4 BP_ACK_IN4 C17 A17 P4_D_IN0 A19 P4_D_IN1 B16 P4_D_IN2 P4_D_IN3 A12 M28 S0C_IN5 BP_ACK_IN5 A16 A14 P5_D_IN0 A15 P5_D_IN1 D15 P5_D_IN2 P5_D_IN3 D14 H30 SOC_IN6 BP_ACK_IN6 E15 B13 P6_D_IN0 C14 P6_D_IN1 A11 P6_D_IN2 P6_D_IN3 B12 L27 SOC_IN7 BP_ACK_IN7 F15 E14 P7_D_IN0 F14 P7_D_IN1 C13 P7_D_IN2 P7_D_IN3 T27 SOC_OUT1 AB6 BP_ACK_OUT4 U28 P4_D_OUT0 V29 P4_D_OUT1 T26 P4_D_OUT2 W30 P4_D_OUT3 BP_ACK_OUT5 AE3
R27 P1_D_OUT0 P27 P1_D_OUT1 R26 P1_D_OUT2 N29 P1_D_OUT3 BP_ACK_OUT2 AA6
SE0_SOC_IN_GRP1
BP0_ACK_IN4 SE0_DIN_GRP1_0 SE0_DIN_GRP1_1 SE0_DIN_GRP1_2 SE0_DIN_GRP1_3 BP0_ACK_IN5 SE0_DIN_GRP1_4 SE0_DIN_GRP1_5 SE0_DIN_GRP1_6 SE0_DIN_GRP1_7 BP0_ACK_IN6
BP0_ACK_OUT4 SE0_DOUT_GRP1_0 SE0_DOUT_GRP1_1 SE0_DOUT_GRP1_2 SE0_DOUT_GRP1_3 BP0_ACK_OUT5 SE0_DOUT_GRP1_4 SE0_DOUT_GRP1_5 SE0_DOUT_GRP1_6 SE0_DOUT_GRP1_7 BP0_ACK_OUT6
SE0_SOC_OUT_GRP1
R29 P2_D_OUT0 M30 P2_D_OUT1 P30 P2_D_OUT2 P28 P2_D_OUT3 BP_ACK_OUT3 AG2
T30 P3_D_OUT0 U30 P3_D_OUT1 R30 P3_D_OUT2 T29 P3_D_OUT3
BP0_ACK_OUT[3-0]
BP0_ACK_OUT[3-0]
U26 P5_D_OUT0 T25 P5_D_OUT1 U27 P5_D_OUT2 Y30 P5_D_OUT3 BP_ACK_OUT6 AC5
SE0_DOUT_GRP0_[15-0]
SE0_DOUT_GRP0_[15-0]
PMC73488 QSE
3
SE0_DIN_GRP1_8 SE0_DIN_GRP1_9 SE0_DIN_GRP1_10 SE0_DIN_GRP1_11 BP0_ACK_IN7 SE0_DIN_GRP1_12 SE0_DIN_GRP1_13 SE0_DIN_GRP1_14 SE0_DIN_GRP1_15
AA30 SE0_DOUT_GRP1_8 P6_D_OUT0 W29 SE0_DOUT_GRP1_9 P6_D_OUT1 V28 SE0_DOUT_GRP1_10 P6_D_OUT2 U25 SE0_DOUT_GRP1_11 P6_D_OUT3 BP_ACK_OUT7 AH2 BP0_ACK_OUT7 SE0_DOUT_GRP1_12 SE0_DOUT_GRP1_13 SE0_DOUT_GRP1_14 SE0_DOUT_GRP1_15
3
BP0_ACK_IN[7-4]
BP0_ACK_IN[7-4]
SE0_DIN_GRP1_[15-0]
SE0_DIN_GRP1_[15-0]
W28 P7_D_OUT0 Y29 P7_D_OUT1 V27 P7_D_OUT2 V26 P7_D_OUT3
BP0_ACK_OUT[7-4]
BP0_ACK_OUT[7-4]
SE0_DOUT_GRP1_[15-0]
SE0_DOUT_GRP1_[15-0]
PMC73488 QSE
GROUP 2
U3D C12 SOC_OUT2 M26 SOC_IN8 BP_ACK_IN8 BP_ACK_OUT8 A10 P8_D_OUT0 E13 P8_D_IN0 P8_D_OUT1 D13 P8_D_IN1 P8_D_OUT2 B11 P8_D_IN2 P8_D_IN3 P8_D_OUT3 C10 SOC_IN9 H29 BP_ACK_IN9 BP_ACK_OUT9 A8 P9_D_OUT0 A7 P9_D_IN0 P9_D_OUT1 E12 P9_D_IN1 P9_D_OUT2 B9 P9_D_IN2 P9_D_IN3 P9_D_OUT3 E11 K28 SOC_IN10 BP_ACK_IN10BP_ACK_OUT10 D11 P10_D_OUT0 F13 P10_D_IN0 P10_D_OUT1 F12 P10_D_IN1 P10_D_OUT2 B8 P10_D_IN2 P10_D_IN3 P10_D_OUT3 E10 SOC_IN11 F30 BP_ACK_IN11BP_ACK_OUT11 A6 P11_D_OUT0 B7 P11_D_IN0 P11_D_OUT1 C9 P11_D_IN1 P11_D_OUT2 D10 P11_D_IN2 P11_D_IN3 P11_D_OUT3 AC30 AD4 AA28 AB29 W26 AD30 AD6 AC29 W25 V25 Y27 AG3 AB28 AD29 AE30 Y26 AE4 AC28 AG30 AA26 AA27
SE0_SOC_IN_GRP2
BP0_ACK_IN8 SE0_DIN_GRP2_0 SE0_DIN_GRP2_1 SE0_DIN_GRP2_2 SE0_DIN_GRP2_3 BP0_ACK_IN9
BP0_ACK_OUT8 SE0_DOUT_GRP2_0 SE0_DOUT_GRP2_1 SE0_DOUT_GRP2_2 SE0_DOUT_GRP2_3 BP0_ACK_OUT9 SE0_DOUT_GRP2_4 SE0_DOUT_GRP2_5 SE0_DOUT_GRP2_6 SE0_DOUT_GRP2_7 BP0_ACK_OUT10 SE0_DOUT_GRP2_8 SE0_DOUT_GRP2_9 SE0_DOUT_GRP2_10 SE0_DOUT_GRP2_11 BP0_ACK_OUT11 SE0_DOUT_GRP2_12 SE0_DOUT_GRP2_13 SE0_DOUT_GRP2_14 SE0_DOUT_GRP2_15
SE0_SOC_OUT_GRP2
GROUP 3
U3E
2
2
SE0_DIN_GRP2_4 SE0_DIN_GRP2_5 SE0_DIN_GRP2_6 SE0_DIN_GRP2_7 BP0_ACK_IN10 SE0_DIN_GRP2_8 SE0_DIN_GRP2_9 SE0_DIN_GRP2_10 SE0_DIN_GRP2_11 BP0_ACK_IN11 SE0_DIN_GRP2_12 SE0_DIN_GRP2_13 SE0_DIN_GRP2_14 SE0_DIN_GRP2_15
SE0_SOC_IN_GRP3
BP0_ACK_IN12 SE0_DIN_GRP3_0 SE0_DIN_GRP3_1 SE0_DIN_GRP3_2 SE0_DIN_GRP3_3 BP0_ACK_IN13 SE0_DIN_GRP3_4 SE0_DIN_GRP3_5 SE0_DIN_GRP3_6 SE0_DIN_GRP3_7 BP0_ACK_IN14
BP0_ACK_IN[11-8]
BP0_ACK_IN[11-8]
BP0_ACK_OUT[11-8]
BP0_ACK_OUT[11-8]
SE0_DIN_GRP2_[15-0]
SE0_DIN_GRP2_[15-0]
SE0_DOUT_GRP2_[15-0]
SE0_DOUT_GRP2_[15-0]
PMC73488 QSE
SE0_DIN_GRP3_8 SE0_DIN_GRP3_9 SE0_DIN_GRP3_10 SE0_DIN_GRP3_11 BP0_ACK_IN15
BP0_ACK_IN[15-12]
BP0_ACK_IN[15-12]
SE0_DIN_GRP3_12 SE0_DIN_GRP3_13 SE0_DIN_GRP3_14 SE0_DIN_GRP3_15
SE0_DIN_GRP3_[15-0]
SE0_DIN_GRP3_[15-0]
E9 SOC_OUT3 N25 SOC_IN12 BP_ACK_IN12BP_ACK_OUT12 A4 P12_D_OUT0 C8 P12_D_IN0 P12_D_OUT1 D9 P12_D_IN1 P12_D_OUT2 A3 P12_D_IN2 P12_D_IN3 P12_D_OUT3 C6 M25 SOC_IN13 BP_ACK_IN13BP_ACK_OUT13 B5 P13_D_OUT0 F10 P13_D_IN0 P13_D_OUT1 B4 P13_D_IN1 P13_D_OUT2 F9 P13_D_IN2 P13_D_IN3 P13_D_OUT3 C4 J28 SOC_IN14 BP_ACK_IN14BP_ACK_OUT14 E8 P14_D_OUT0 B3 P14_D_IN0 P14_D_OUT1 D7 P14_D_IN1 P14_D_OUT2 F7 P14_D_IN2 P14_D_IN3 P14_D_OUT3 A2 L26 SOC_IN15 BP_ACK_IN15BP_ACK_OUT15 D6 P15_D_OUT0 E7 P15_D_IN0 P15_D_OUT1 E6 P15_D_IN1 P15_D_OUT2 D5 P15_D_IN2 P15_D_IN3 P15_D_OUT3
AB27 AD5 BP0_ACK_OUT12 AA25 AF29 AB26 AH30 AE5 AC26 AE28 AB25 AG29 AF4 AG28 AD25 AD27 AH29 AJ1 AK29 AE26 AD26 AE27 SE0_DOUT_GRP3_0 SE0_DOUT_GRP3_1 SE0_DOUT_GRP3_2 SE0_DOUT_GRP3_3 BP0_ACK_OUT13 SE0_DOUT_GRP3_4 SE0_DOUT_GRP3_5 SE0_DOUT_GRP3_6 SE0_DOUT_GRP3_7 BP0_ACK_OUT14 SE0_DOUT_GRP3_8 SE0_DOUT_GRP3_9 SE0_DOUT_GRP3_10 SE0_DOUT_GRP3_11 BP0_ACK_OUT15 SE0_DOUT_GRP3_12 SE0_DOUT_GRP3_13 SE0_DOUT_GRP3_14 SE0_DOUT_GRP3_15 BP0_ACK_OUT[15-12]
SE0_SOC_OUT_GRP3
BP0_ACK_OUT[15-12]
SE0_DOUT_GRP3_[15-0]
SE0_DOUT_GRP3_[15-0]
PMC73488 QSE
1 1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE0 SWITCH ELEMENTS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
13 of
28
A
B
C
D
E
GROUP 4
U3F
4
SE0_SOC_IN_GRP4
BP0_ACK_IN16 SE0_DIN_GRP4_16 SE0_DIN_GRP4_17 SE0_DIN_GRP4_18 SE0_DIN_GRP4_19 BP0_ACK_IN17 SE0_DIN_GRP4_20 SE0_DIN_GRP4_21 SE0_DIN_GRP4_22 SE0_DIN_GRP4_23 BP0_ACK_IN18 SE0_DIN_GRP4_24 SE0_DIN_GRP4_25 SE0_DIN_GRP4_26 SE0_DIN_GRP4_27 BP0_ACK_IN19 SE0_DIN_GRP4_28 SE0_DIN_GRP4_29 SE0_DIN_GRP4_30 SE0_DIN_GRP4_31
J5 D30 F3 C1 D2 H3 D1 G29 K5 K4 J4 G2 F1 J27
AG25 SOC_IN16 SOC_OUT4 AK2 BP_ACK_IN16BP_ACK_OUT16 AF24 P16_D_IN0 P16_D_OUT0 AG24 P16_D_IN1 P16_D_OUT1 AE24 P16_D_IN2 P16_D_OUT2 AG26 P16_D_IN3 P16_D_OUT3 SOC_IN17 AG5 BP_ACK_IN17BP_ACK_OUT17 AJ28 P17_D_IN0 P17_D_OUT0 AE22 P17_D_IN1 P17_D_OUT1 AH27 P17_D_IN2 P17_D_OUT2 AF23 P17_D_IN3 P17_D_OUT3 AF6 AK28 AH25 AJ26 AE21 AF7
4
BP0_ACK_OUT16 SE0_DOUT_GRP4_16 SE0_DOUT_GRP4_17 SE0_DOUT_GRP4_18 SE0_DOUT_GRP4_19 BP0_ACK_OUT17 SE0_DOUT_GRP4_20 SE0_DOUT_GRP4_21 SE0_DOUT_GRP4_22 SE0_DOUT_GRP4_23 BP0_ACK_OUT18 SE0_DOUT_GRP4_24 SE0_DOUT_GRP4_25 SE0_DOUT_GRP4_26 SE0_DOUT_GRP4_27 BP0_ACK_OUT19
SE0_SOC_OUT_GRP4
GROUP 5
U3G K1 K26 AG21 AG6 BP0_ACK_OUT20 AF20 AK27 AJ24 AG22 AH4 AK25 AE18 AE19 AH22 AE7 AG20 AF19 AJ23 AH21 AG7 AG18 AJ22 AH19 AK23 SE0_DOUT_GRP5_16 SE0_DOUT_GRP5_17 SE0_DOUT_GRP5_18 SE0_DOUT_GRP5_19 BP0_ACK_OUT21 SE0_DOUT_GRP5_20 SE0_DOUT_GRP5_21 SE0_DOUT_GRP5_22 SE0_DOUT_GRP5_23 BP0_ACK_OUT22 SE0_DOUT_GRP5_24 SE0_DOUT_GRP5_25 SE0_DOUT_GRP5_26 SE0_DOUT_GRP5_27 BP0_ACK_OUT23 SE0_DOUT_GRP5_28 SE0_DOUT_GRP5_29 SE0_DOUT_GRP5_30 SE0_DOUT_GRP5_31
3
SE0_SOC_IN_GRP5
BP0_ACK_IN20 SE0_DIN_GRP5_16 SE0_DIN_GRP5_17 SE0_DIN_GRP5_18 SE0_DIN_GRP5_19 BP0_ACK_IN21 SE0_DIN_GRP5_20 SE0_DIN_GRP5_21 SE0_DIN_GRP5_22 SE0_DIN_GRP5_23 BP0_ACK_IN22
BP0_ACK_IN[19-16]
BP0_ACK_IN[19-16]
SE0_DIN_GRP4_[31-16]
SE0_DIN_GRP4_[31-16]
SOC_IN18 BP_ACK_IN18BP_ACK_OUT18 L5 P18_D_OUT0 J3 P18_D_IN0 P18_D_OUT1 M6 P18_D_IN1 P18_D_OUT2 N6 P18_D_IN2 P18_D_IN3 P18_D_OUT3 H1 SOC_IN19 K27 BP_ACK_IN19BP_ACK_OUT19 K3 P19_D_OUT0 H2 P19_D_IN0 P19_D_OUT1 M5 P19_D_IN1 P19_D_OUT2 L4 P19_D_IN2 P19_D_IN3 P19_D_OUT3
AF21 SE0_DOUT_GRP4_28 AF22 SE0_DOUT_GRP4_29 AH23 SE0_DOUT_GRP4_30 AJ27 SE0_DOUT_GRP4_31
BP0_ACK_OUT[19-16]
BP0_ACK_OUT[19-16]
SE0_DOUT_GRP4_[31-16]
SE0_DOUT_GRP4_[31-16]
PMC73488 QSE
3
SE0_DIN_GRP5_24 SE0_DIN_GRP5_25 SE0_DIN_GRP5_26 SE0_DIN_GRP5_27 BP0_ACK_IN23 SE0_DIN_GRP5_28 SE0_DIN_GRP5_29 SE0_DIN_GRP5_30 SE0_DIN_GRP5_31
BP0_ACK_IN[23-20]
BP0_ACK_IN[23-20]
SE0_DIN_GRP5_[31-16]
SE0_DIN_GRP5_[31-16]
SOC_IN20 SOC_OUT5 BP_ACK_IN20BP_ACK_OUT20 M3 P20_D_OUT0 J2 P20_D_IN0 P20_D_OUT1 N4 P20_D_IN1 P20_D_OUT2 G1 P20_D_IN2 P20_D_IN3 P20_D_OUT3 R6 J26 SOC_IN21 BP_ACK_IN21BP_ACK_OUT21 L2 P21_D_OUT0 N3 P21_D_IN0 P21_D_OUT1 P6 P21_D_IN1 P21_D_OUT2 N5 P21_D_IN2 P21_D_IN3 P21_D_OUT3 R5 H28 SOC_IN22 BP_ACK_IN22BP_ACK_OUT22 M2 P22_D_OUT0 L1 P22_D_IN0 P22_D_OUT1 P5 P22_D_IN1 P22_D_OUT2 N2 P22_D_IN2 P22_D_IN3 P22_D_OUT3 M1 D29 SOC_IN23 BP_ACK_IN23BP_ACK_OUT23 P4 P23_D_OUT0 R4 P23_D_IN0 P23_D_OUT1 P3 P23_D_IN1 P23_D_OUT2 P1 P23_D_IN2 P23_D_IN3 P23_D_OUT3
SE0_SOC_OUT_GRP5
BP0_ACK_OUT[23-20]
BP0_ACK_OUT[23-20]
SE0_DOUT_GRP5_[31-16]
SE0_DOUT_GRP5_[31-16]
PMC73488 QSE
GROUP 6
U3H T1 C30 R2 T2 R1 U1 U3 F28 T4 W1 T5 V2 AK24 SOC_IN24 SOC_OUT6 AJ3 BP_ACK_IN24BP_ACK_OUT24 AE17 P24_D_IN0 P24_D_OUT0 AH18 P24_D_IN1 P24_D_OUT1 AJ20 P24_D_IN2 P24_D_OUT2 AK21 P24_D_IN3 P24_D_OUT3 SOC_IN25 AF8 BP_ACK_IN25BP_ACK_OUT25 AK20 P25_D_IN0 P25_D_OUT0 AJ19 P25_D_IN1 P25_D_OUT1 AE16 P25_D_IN2 P25_D_OUT2 AF18 P25_D_IN3 P25_D_OUT3 AH6 AG17 AF16 AJ18 AF17 AE9 AK19 AK17 AH17 AG16
SE0_SOC_IN_GRP6
BP0_ACK_IN24 SE0_DIN_GRP6_16 SE0_DIN_GRP6_17 SE0_DIN_GRP6_18 SE0_DIN_GRP6_19 BP0_ACK_IN25
BP0_ACK_OUT24 SE0_DOUT_GRP6_16 SE0_DOUT_GRP6_17 SE0_DOUT_GRP6_18 SE0_DOUT_GRP6_19 BP0_ACK_OUT25 SE0_DOUT_GRP6_20 SE0_DOUT_GRP6_21 SE0_DOUT_GRP6_22 SE0_DOUT_GRP6_23 BP0_ACK_OUT26 SE0_DOUT_GRP6_24 SE0_DOUT_GRP6_25 SE0_DOUT_GRP6_26 SE0_DOUT_GRP6_27 BP0_ACK_OUT27 SE0_DOUT_GRP6_28 SE0_DOUT_GRP6_29 SE0_DOUT_GRP6_30 SE0_DOUT_GRP6_31
SE0_SOC_OUT_GRP6
GROUP 7
U3I
2
2
SE0_DIN_GRP6_20 SE0_DIN_GRP6_21 SE0_DIN_GRP6_22 SE0_DIN_GRP6_23 BP0_ACK_IN26 SE0_DIN_GRP6_24 SE0_DIN_GRP6_25 SE0_DIN_GRP6_26 SE0_DIN_GRP6_27 BP0_ACK_IN27 SE0_DIN_GRP6_28 SE0_DIN_GRP6_29 SE0_DIN_GRP6_30 SE0_DIN_GRP6_31
SE0_SOC_IN_GRP7
BP0_ACK_IN28 SE0_DIN_GRP7_16 SE0_DIN_GRP7_17 SE0_DIN_GRP7_18 SE0_DIN_GRP7_19 BP0_ACK_IN29 SE0_DIN_GRP7_20 SE0_DIN_GRP7_21 SE0_DIN_GRP7_22 SE0_DIN_GRP7_23 BP0_ACK_IN30
BP0_ACK_IN[27-24]
BP0_ACK_IN[27-24]
SE0_DIN_GRP6_[31-16]
SE0_DIN_GRP6_[31-16]
U6 E29 SOC_IN26 BP_ACK_IN26BP_ACK_OUT26 Y1 P26_D_OUT0 U4 P26_D_IN0 P26_D_OUT1 T6 P26_D_IN1 P26_D_OUT2 U5 P26_D_IN2 P26_D_IN3 P26_D_OUT3 V4 SOC_IN27 K25 BP_ACK_IN27BP_ACK_OUT27 V3 P27_D_OUT0 W2 P27_D_IN0 P27_D_OUT1 AA1 P27_D_IN1 P27_D_OUT2 V5 P27_D_IN2 P27_D_IN3 P27_D_OUT3
BP0_ACK_OUT[27-24]
BP0_ACK_OUT[27-24]
SE0_DOUT_GRP6_[31-16]
SE0_DOUT_GRP6_[31-16]
PMC73488 QSE
SE0_DIN_GRP7_24 SE0_DIN_GRP7_25 SE0_DIN_GRP7_26 SE0_DIN_GRP7_27 BP0_ACK_IN31
BP0_ACK_IN[31-28]
BP0_ACK_IN[31-28]
SE0_DIN_GRP7_28 SE0_DIN_GRP7_29 SE0_DIN_GRP7_30 SE0_DIN_GRP7_31
SE0_DIN_GRP7_[31-16]
SE0_DIN_GRP7_[31-16]
W5 SOC_OUT7 C29 SOC_IN28 BP_ACK_IN28BP_ACK_OUT28 Y2 P28_D_OUT0 W3 P28_D_IN0 P28_D_OUT1 AC1 P28_D_IN1 P28_D_OUT2 AD1 P28_D_IN2 P28_D_IN3 P28_D_OUT3 W6 J25 SOC_IN29 BP_ACK_IN29BP_ACK_OUT29 AB2 P29_D_OUT0 AA3 P29_D_IN0 P29_D_OUT1 Y4 P29_D_IN1 P29_D_OUT2 V6 P29_D_IN2 P29_D_IN3 P29_D_OUT3 AB3 D28 SOC_IN30 BP_D_IN30 BP_D_OUT30 AC2 P30_D_OUT0 Y5 P30_D_IN0 P30_D_OUT1 AE1 P30_D_IN1 P30_D_OUT2 AD2 P30_D_IN2 P30_D_IN3 P30_D_OUT3 AB4 H26 SOC_IN31 BP_ACK_IN31BP_ACK_OUT31 AA4 P31_D_OUT0 AA5 P31_D_IN0 P31_D_OUT1 AG1 P31_D_IN1 P31_D_OUT2 AC3 P31_D_IN2 P31_D_IN3 P31_D_OUT3
AJ15 AJ4 BP0_ACK_OUT28 AG15 AK15 AK14 AK16 SE0_DOUT_GRP7_16 SE0_DOUT_GRP7_17 SE0_DOUT_GRP7_18 SE0_DOUT_GRP7_19
SE0_SOC_OUT_GRP7
AE10 BP0_ACK_OUT29 AH14 AJ13 AF15 AK12 AJ5 AF14 AE15 AG14 AK11 AF9 AK10 AJ12 AH13 AE14 SE0_DOUT_GRP7_20 SE0_DOUT_GRP7_21 SE0_DOUT_GRP7_22 SE0_DOUT_GRP7_23 BP0_ACK_OUT30 SE0_DOUT_GRP7_24 SE0_DOUT_GRP7_25 SE0_DOUT_GRP7_26 SE0_DOUT_GRP7_27 BP0_ACK_OUT31 SE0_DOUT_GRP7_28 SE0_DOUT_GRP7_29 SE0_DOUT_GRP7_30 SE0_DOUT_GRP7_31 BP0_ACK_OUT[31-28] BP0_ACK_OUT[31-28]
SE0_DOUT_GRP7_[31-16]
SE0_DOUT_GRP7_[31-16]
PMC73488 QSE
1 1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE0 SWITCH ELEMENTS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
14 of
28
A
B
C
D
E
GROUP 0
VDD_A VDD
57 31 VCC_A2 VCC_A1
17
41 8
64 49 36 24 13
U13
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
4
SE0_DOUT_GRP0_[15-0] SE0_SOC_OUT_GRP0
SE0_DOUT_GRP0_[15-0]
SE0_DOUT_GRP0_0 SE0_DOUT_GRP0_1 SE0_DOUT_GRP0_2 SE0_DOUT_GRP0_3 SE0_DOUT_GRP0_4 SE0_DOUT_GRP0_5 SE0_DOUT_GRP0_6 SE0_DOUT_GRP0_7 SE0_DOUT_GRP0_8 SE0_DOUT_GRP0_9 SE0_DOUT_GRP0_10 SE0_DOUT_GRP0_11 SE0_DOUT_GRP0_12 SE0_DOUT_GRP0_13 SE0_DOUT_GRP0_14 SE0_DOUT_GRP0_15
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T0_GRP0+ T0_GRP0-
4
GROUP 1
VDD_A VDD
HDMP-1032 XMTR
57 31
17
41 8
64 49 36 24 13
U14 R130 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T0_LOCKED0 SE0_DOUT_GRP1_0 SE0_DOUT_GRP1_1 SE0_DOUT_GRP1_2 SE0_DOUT_GRP1_3 SE0_DOUT_GRP1_4 SE0_DOUT_GRP1_5 SE0_DOUT_GRP1_6 SE0_DOUT_GRP1_7 SE0_DOUT_GRP1_8 SE0_DOUT_GRP1_9 SE0_DOUT_GRP1_10 SE0_DOUT_GRP1_11 SE0_DOUT_GRP1_12 SE0_DOUT_GRP1_13 SE0_DOUT_GRP1_14 SE0_DOUT_GRP1_15 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
VDD 10K
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C9 .22uF
HSOUT+ HSOUT-
20 19
T0_GRP1+ T0_GRP1-
GND_A2 GND_A1
R131 100
HDMP-1032 XMTR
48 35 25 14 1
40 9
56 30
18
SE0_DOUT_GRP1_[15-0]
3
SE0_DOUT_GRP1_[15-0]
SE0_SOC_OUT_GRP1 VDD
R132 10K
SE_CLK_T0
GROUP 2
VDD_A VDD R133 100 57 31 VCC_A2 VCC_A1 17 41 8 64 49 36 24 13 U15
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T0_LOCKED1
3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS 18
32 33 C10 .22uF
GND_A2 GND_A1 56 30
48 35 25 14 1
40 9
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
2
SE0_DOUT_GRP2_[15-0] SE0_SOC_OUT_GRP2
SE0_DOUT_GRP2_[15-0]
SE0_DOUT_GRP2_0 SE0_DOUT_GRP2_1 SE0_DOUT_GRP2_2 SE0_DOUT_GRP2_3 SE0_DOUT_GRP2_4 SE0_DOUT_GRP2_5 SE0_DOUT_GRP2_6 SE0_DOUT_GRP2_7 SE0_DOUT_GRP2_8 SE0_DOUT_GRP2_9 SE0_DOUT_GRP2_10 SE0_DOUT_GRP2_11 SE0_DOUT_GRP2_12 SE0_DOUT_GRP2_13 SE0_DOUT_GRP2_14 SE0_DOUT_GRP2_15
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T0_GRP2+ T0_GRP2-
GROUP 3
VDD_A VDD
HDMP-1032 XMTR
2
57 31
17
41 8
64 49 36 24 13
U16 R134 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T0_LOCKED2 SE0_DOUT_GRP3_0 SE0_DOUT_GRP3_1 SE0_DOUT_GRP3_2 SE0_DOUT_GRP3_3 SE0_DOUT_GRP3_4 SE0_DOUT_GRP3_5 SE0_DOUT_GRP3_6 SE0_DOUT_GRP3_7 SE0_DOUT_GRP3_8 SE0_DOUT_GRP3_9 SE0_DOUT_GRP3_10 SE0_DOUT_GRP3_11 SE0_DOUT_GRP3_12 SE0_DOUT_GRP3_13 SE0_DOUT_GRP3_14 SE0_DOUT_GRP3_15 SE0_DOUT_GRP3_[15-0] R136 VDD 10K 6 4 5 26 27 10 28 37 11 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
VDD 10K
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C11 .22uF
HSOUT+ HSOUT-
20 19
T0_GRP3+ T0_GRP3-
GND_A2 GND_A1
R135 100
HDMP-1032 XMTR
48 35 25 14 1
56 30
18
40 9
SE0_DOUT_GRP3_[15-0] SE0_SOC_OUT_GRP3
SE_CLK_T1
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T0_LOCKED3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C12 .22uF
GND_A2 GND_A1
1
1
R137 100
48 35 25 14 1
56 30
18
40 9
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE0 XMTR SERIALIZERS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
15 of
28
A
B
C
D
E
GROUP 4
VDD_A VDD
57 31 VCC_A2 VCC_A1
17
41 8
64 49 36 24 13
U17
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
4
SE0_DOUT_GRP4_[31-16] SE0_SOC_OUT_GRP4
SE0_DOUT_GRP4_[31-16]
SE0_DOUT_GRP4_16 SE0_DOUT_GRP4_17 SE0_DOUT_GRP4_18 SE0_DOUT_GRP4_19 SE0_DOUT_GRP4_20 SE0_DOUT_GRP4_21 SE0_DOUT_GRP4_22 SE0_DOUT_GRP4_23 SE0_DOUT_GRP4_24 SE0_DOUT_GRP4_25 SE0_DOUT_GRP4_26 SE0_DOUT_GRP4_27 SE0_DOUT_GRP4_28 SE0_DOUT_GRP4_29 SE0_DOUT_GRP4_30 SE0_DOUT_GRP4_31
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T0_GRP4+ T0_GRP4-
4
GROUP 5
VDD_A VDD
HDMP-1032 XMTR
57 31
17
41 8
64 49 36 24 13
U18 R138 10K TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T0_LOCKED4 SE0_DOUT_GRP5_16 SE0_DOUT_GRP5_17 SE0_DOUT_GRP5_18 SE0_DOUT_GRP5_19 SE0_DOUT_GRP5_20 SE0_DOUT_GRP5_21 SE0_DOUT_GRP5_22 SE0_DOUT_GRP5_23 SE0_DOUT_GRP5_24 SE0_DOUT_GRP5_25 SE0_DOUT_GRP5_26 SE0_DOUT_GRP5_27 SE0_DOUT_GRP5_28 SE0_DOUT_GRP5_29 SE0_DOUT_GRP5_30 SE0_DOUT_GRP5_31 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
VDD
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C13 .22uF
HSOUT+ HSOUT-
20 19
T0_GRP5+ T0_GRP5-
GND_A2 GND_A1
R139 100
HDMP-1032 XMTR
48 35 25 14 1
40 9
56 30
18
SE0_DOUT_GRP5_[31-16]
3
SE0_DOUT_GRP5_[31-16]
SE0_SOC_OUT_GRP5 VDD
R140 10K
SE_CLK_T2
GROUP 6
VDD_A VDD R141 100 57 31 VCC_A2 VCC_A1 17 41 8 64 49 36 24 13 U19
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T0_LOCKED5
3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS 18
32 33 C14 .22uF
GND_A2 GND_A1 56 30
48 35 25 14 1
40 9
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
2
SE0_DOUT_GRP6_[31-16] SE0_SOC_OUT_GRP6
SE0_DOUT_GRP6_[31-16] R142 VDD 10K
SE0_DOUT_GRP6_16 SE0_DOUT_GRP6_17 SE0_DOUT_GRP6_18 SE0_DOUT_GRP6_19 SE0_DOUT_GRP6_20 SE0_DOUT_GRP6_21 SE0_DOUT_GRP6_22 SE0_DOUT_GRP6_23 SE0_DOUT_GRP6_24 SE0_DOUT_GRP6_25 SE0_DOUT_GRP6_26 SE0_DOUT_GRP6_27 SE0_DOUT_GRP6_28 SE0_DOUT_GRP6_29 SE0_DOUT_GRP6_30 SE0_DOUT_GRP6_31
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T0_GRP6+ T0_GRP6-
GROUP 7
VDD_A VDD
HDMP-1032 XMTR
2
57 31
17
41 8
64 49 36 24 13
U20 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T0_LOCKED6 SE0_DOUT_GRP7_16 SE0_DOUT_GRP7_17 SE0_DOUT_GRP7_18 SE0_DOUT_GRP7_19 SE0_DOUT_GRP7_20 SE0_DOUT_GRP7_21 SE0_DOUT_GRP7_22 SE0_DOUT_GRP7_23 SE0_DOUT_GRP7_24 SE0_DOUT_GRP7_25 SE0_DOUT_GRP7_26 SE0_DOUT_GRP7_27 SE0_DOUT_GRP7_28 SE0_DOUT_GRP7_29 SE0_DOUT_GRP7_30 SE0_DOUT_GRP7_31 SE0_DOUT_GRP7_[31-16] R144 VDD 10K 6 4 5 26 27 10 28 37 11 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC HSOUT+ HSOUT20 19 T0_GRP7+ T0_GRP7-
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C15 .22uF
GND_A2 GND_A1
R143 100
HDMP-1032 XMTR
48 35 25 14 1
56 30
18
40 9
SE0_DOUT_GRP7_[31-16] SE0_SOC_OUT_GRP7
SE_CLK_T3
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T0_LOCKED7
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C16 .22uF
GND_A2 GND_A1
1
1
R145 100
48 35 25 14 1
56 30
18
40 9
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE0 XMTR SERIALIZERS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
16 of
28
A
B
C
D
E
4
4
U21A AK7 AK8 AH12 MA7 MA6 MA5 MA4 MA3 MA2 MA1 MA0 AJ7 AH9 AG10 AF10 AK4 AH8 AG9 AK3 AJ11 ACKN AG13 INTRN AJ9 AH10 AG11 AE13 AE12 AJ8 AF11 AK6 R146 33 MAD7 MAD6 MAD5 MAD4 MAD3 MAD2 MAD1 MAD0 U22 BD_RESET RAM1_DATA16 RAM1_DATA15 RAM1_DATA14 RAM1_DATA13 RAM1_DATA12 RAM1_DATA11 RAM1_DATA10 RAM1_DATA9 RAM1_DATA8 RAM1_DATA7 RAM1_DATA6 RAM1_DATA5 RAM1_DATA4 RAM1_DATA3 RAM1_DATA2 RAM1_DATA1 RAM1_DATA0 BCELL_24_START1 BCELL_START1 /NO_DATA_OUT /NO_DATA_IN SE_CLK_M1 VDD R150 10K AF13 F18 C22 E20 A27 B24 D22 D21 E21 E22 C23 B27 A28 C25 B26 F21 B28 F22 RESET RAM_DATA16 RAM_DATA15 RAM_DATA14 RAM_DATA13 RAM_DATA12 RAM_DATA11 RAM_DATA10 RAM_DATA9 RAM_DATA8 RAM_DATA7 RAM_DATA6 RAM_DATA5 RAM_DATA4 RAM_DATA3 RAM_DATA2 RAM_DATA1 RAM_DATA0 RAM_ADD18 RAM_ADD17 RAM_ADD16 RAM_ADD15 RAM_ADD14 RAM_ADD13 RAM_ADD12 RAM_ADD11 RAM_ADD10 RAM_ADD9 RAM_ADD8 RAM_ADD7 RAM_ADD6 RAM_ADD5 RAM_ADD4 RAM_ADD3 RAM_ADD2 RAM_ADD1 RAM_ADD0 AF12 AH1 F19 C27 E23 E24 D24 F24 D26 D25 E25 A29 B30 F26 F27 E27 G25 G27 G26 RAM1_ADD[17-0] RAM1_ADD17 RAM1_ADD16 RAM1_ADD15 RAM1_ADD14 RAM1_ADD13 RAM1_ADD12 RAM1_ADD11 RAM1_ADD10 RAM1_ADD9 RAM1_ADD8 RAM1_ADD7 RAM1_ADD6 RAM1_ADD5 RAM1_ADD4 RAM1_ADD3 RAM1_ADD2 RAM1_ADD1 RAM1_ADD0 VDD A25 RAM_WRN C21 RAM_OEN B23 RAM_CLK R149 33 10k RAM1_ADD17 RAM1_ADD16 RAM1_ADD15 RAM1_ADD14 RAM1_ADD13 RAM1_ADD12 RAM1_ADD11 RAM1_ADD10 RAM1_ADD9 RAM1_ADD8 RAM1_ADD7 RAM1_ADD6 RAM1_ADD5 RAM1_ADD4 RAM1_ADD3 RAM1_ADD2 RAM1_ADD1 RAM1_ADD0 R148 50 49 44 45 46 47 48 80 81 82 99 100 32 33 34 35 36 37 83 84 85 86 89 92 97 98 94 93 87 88 31 64 FUT_A17 A16 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 ADVN ADSPN ADSCN OEN CLK CE2N CE2 CEN WEHN WELN BWEN GWN MODE ZZ DQP2 DQP1 DQ16 DQ15 DQ14 DQ13 DQ12 DQ11 DQ10 DQ9 DQ8 DQ7 DQ6 DQ5 DQ4 DQ3 DQ2 DQ1 24 74 23 22 19 18 13 12 9 8 73 72 69 68 63 62 59 58 R147 33
/QSE1_CS /BRD /BWR
CSN RDN WRN ADD7 ADD6 ADD5 ADD4 ADD3 ADD2 ADD1 ADD0
/QSE1_ACK /QSE1_INT MAD[7-0] MAD[7-0]
MA[7-0]
MA[7-0]
DATA7 DATA6 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0
3
RAM1_DATA16 RAM1_DATA15 RAM1_DATA14 RAM1_DATA13 RAM1_DATA12 RAM1_DATA11 RAM1_DATA10 RAM1_DATA9 RAM1_DATA8 RAM1_DATA7 RAM1_DATA6 RAM1_DATA5 RAM1_DATA4 RAM1_DATA3 RAM1_DATA2 RAM1_DATA1 RAM1_DATA0
3
C2 J6 CELL_24_START E2 CELL_START K6 NO_DATA_OUTN NO_DATA_INN AJ16 SE_CLK D3 AF25 OEN SECLKBYPASS G4 B1 F4 E4 G6 N28 P25 G5 SCAN_TCK SCAN_TDI SCAN_TMS SCAN_TRSTN SCAN_ENN TEST_MODEN PLL_BYPASSN IDDTNN
F5 SCAN_TDO H5 PROC_MON
TP3 TEST_POINT TP4 TEST_POINT
Note: 1. MT58LC128K18C5LG-10 2. MT58LC256K18C5LG-10
43 DNU 42 DNU 39 DNU 38 DNU
2MB 4MB
2
R151 100
MT58LC128K18C5LG-10
2
R152 100
PMC73488 QSE
MULTICAST RAM
RAM1_DATA[16-0]
1
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE1 CPU & MULTICAST CKT
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
17 of
28
A
B
C
D
E
GROUP 1
VDD_A VDD
30 VCC_A
16
64 57 49 42 7
40 24 9
U23 C518 R1_GRP1+
4
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
C519 .1uF .1uF
18 19
HSIN+ HSIN-
R1_GRP1-
PASS_ENB VDD R153 VDD 10K
28 29
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
GROUP 0
VDD_A
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE1_DIN_GRP1_0 SE1_DIN_GRP1_1 SE1_DIN_GRP1_2 SE1_DIN_GRP1_3 SE1_DIN_GRP1_4 SE1_DIN_GRP1_5 SE1_DIN_GRP1_6 SE1_DIN_GRP1_7 SE1_DIN_GRP1_8 SE1_DIN_GRP1_9 SE1_DIN_GRP1_10 SE1_DIN_GRP1_11 SE1_DIN_GRP1_12 SE1_DIN_GRP1_13 SE1_DIN_GRP1_14 SE1_DIN_GRP1_15
4
SE1_DIN_GRP1_[15-0]
U24 C516 R1_GRP0+ R1_GRP0.1uF .1uF VDD R155
3
SE_CLK_R4 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE1_DIN_GRP0_0 SE1_DIN_GRP0_1 SE1_DIN_GRP0_2 SE1_DIN_GRP0_3 SE1_DIN_GRP0_4 SE1_DIN_GRP0_5 SE1_DIN_GRP0_6 SE1_DIN_GRP0_7 SE1_DIN_GRP0_8 SE1_DIN_GRP0_9 SE1_DIN_GRP0_10 SE1_DIN_GRP0_11 SE1_DIN_GRP0_12 SE1_DIN_GRP0_13 SE1_DIN_GRP0_14 SE1_DIN_GRP0_15
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP1_[15-0] SE1_SOC_IN_GRP1
30 VCC_A
16 VCC_HS
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC
SE1_RXGRP1_ERROR SE1_RXGRP1_READY
C517
18 19
HSIN+ HSIN-
28 29
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
10K
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C17 .22uF GND GND GND GND
R154 100
56 39 25 10
31
48 41 8 1
20 17
3
SE1_DIN_GRP0_[15-0]
SE_CLK_R4
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP0_[15-0] SE1_SOC_IN_GRP0
SE1_RXGRP0_ERROR SE1_RXGRP0_READY
GROUP 3
VDD_A VDD
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C18 .22uF R1_GRP3+ R1_GRP3.1uF .1uF VDD 28 29 GND GND GND GND C522 C523 18 19
30 VCC_A
16
64 57 49 42 7
40 24 9
U25
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
R156 100
HSIN+ HSIN-
RXDIV0 RXDIV1
GROUP 2
VDD_A
2
R157 VDD 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE1_DIN_GRP3_0 SE1_DIN_GRP3_1 SE1_DIN_GRP3_2 SE1_DIN_GRP3_3 SE1_DIN_GRP3_4 SE1_DIN_GRP3_5 SE1_DIN_GRP3_6 SE1_DIN_GRP3_7 SE1_DIN_GRP3_8 SE1_DIN_GRP3_9 SE1_DIN_GRP3_10 SE1_DIN_GRP3_11 SE1_DIN_GRP3_12 SE1_DIN_GRP3_13 SE1_DIN_GRP3_14 SE1_DIN_GRP3_15
56 39 25 10
31 30 VCC_A U26 C520 R1_GRP2+ R1_GRP2.1uF .1uF VDD R159 10K 22 26 23 36 35 12 11 28 29 C521 18 19 HSIN+ HSINRXDIV0 RXDIV1 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
48 41 8 1
HDMP-1034 RCVR
20 17 16 VCC_HS
SE1_DIN_GRP3_[15-0]
SE_CLK_R5 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE1_DIN_GRP2_0 SE1_DIN_GRP2_1 SE1_DIN_GRP2_2 SE1_DIN_GRP2_3 SE1_DIN_GRP2_4 SE1_DIN_GRP2_5 SE1_DIN_GRP2_6 SE1_DIN_GRP2_7 SE1_DIN_GRP2_8 SE1_DIN_GRP2_9 SE1_DIN_GRP2_10 SE1_DIN_GRP2_11 SE1_DIN_GRP2_12 SE1_DIN_GRP2_13 SE1_DIN_GRP2_14 SE1_DIN_GRP2_15
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP3_[15-0] SE1_SOC_IN_GRP3
2
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15 RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1 R158 100 SE1_DIN_GRP2_[15-0] SE1_DIN_GRP2_[15-0] SE1_SOC_IN_GRP2 SE1_RXGRP2_ERROR SE1_RXGRP2_READY
SE1_RXGRP3_ERROR SE1_RXGRP3_READY
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS 20 17 GND_A 31
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C19 .22uF GND GND GND GND 56 39 25 10
48 41 8 1
SE_CLK_R5
1
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C20 .22uF PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-776 FAX: (408) 441-7925
Title
1
GND GND GND GND
R160 100
56 39 25 10
31
20 17
48 41 8 1
QSE1 RCVR SERIALIZERS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
18 of
28
A
B
C
D
E
GROUP5
VDD_A VDD
30 VCC_A
16
64 57 49 42 7
40 24 9
U27 C526 R1_GRP5+
4
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
C527 .1uF .1uF
18 19
HSIN+ HSIN-
R1_GRP5-
PASS_ENB VDD
28 29
RXDIV0 RXDIV1
GROUP 4
VDD_A VDD
R161 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE1_DIN_GRP5_16 SE1_DIN_GRP5_17 SE1_DIN_GRP5_18 SE1_DIN_GRP5_19 SE1_DIN_GRP5_20 SE1_DIN_GRP5_21 SE1_DIN_GRP5_22 SE1_DIN_GRP5_23 SE1_DIN_GRP5_24 SE1_DIN_GRP5_25 SE1_DIN_GRP5_26 SE1_DIN_GRP5_27 SE1_DIN_GRP5_28 SE1_DIN_GRP5_29 SE1_DIN_GRP5_30 SE1_DIN_GRP5_31
4
SE1_DIN_GRP5_[31-16]
U28 C524 R1_GRP4+ R1_GRP4.1uF .1uF VDD R163
3
SE_CLK_R6 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE1_DIN_GRP4_16 SE1_DIN_GRP4_17 SE1_DIN_GRP4_18 SE1_DIN_GRP4_19 SE1_DIN_GRP4_20 SE1_DIN_GRP4_21 SE1_DIN_GRP4_22 SE1_DIN_GRP4_23 SE1_DIN_GRP4_24 SE1_DIN_GRP4_25 SE1_DIN_GRP4_26 SE1_DIN_GRP4_27 SE1_DIN_GRP4_28 SE1_DIN_GRP4_29 SE1_DIN_GRP4_30 SE1_DIN_GRP4_31
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP5_[31-16] SE1_SOC_IN_GRP5
30 VCC_A
16 VCC_HS
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC
SE1_RXGRP5_ERROR SE1_RXGRP5_READY
C525
18 19
HSIN+ HSIN-
28 29
RXDIV0 RXDIV1
HDMP-1034 RCVR
22 26 23 36 35 12 11 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
10K
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C21 .22uF GND GND GND GND
R162 100
56 39 25 10
31
48 41 8 1
20 17
3
SE1_DIN_GRP4_[31-16]
SE_CLK_R6
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP4_[31-16] SE1_SOC_IN_GRP4
SE1_RXGRP4_ERROR SE1_RXGRP4_READY
GROUP 7
VDD_A VDD
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C22 .22uF R1_GRP7+ R1_GRP7.1uF .1uF VDD 28 29 GND GND GND GND C530 C531 18 19
30 VCC_A
16
64 57 49 42 7
40 24 9
U29
VCC_HS
VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
VCC VCC VCC
R164 100
HSIN+ HSIN-
RXDIV0 RXDIV1
GROUP 6
VDD_A
2
R165 VDD 10K 22 26 23 36 35 12 11
HDMP-1034 RCVR
RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15
3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38
SE1_DIN_GRP7_16 SE1_DIN_GRP7_17 SE1_DIN_GRP7_18 SE1_DIN_GRP7_19 SE1_DIN_GRP7_20 SE1_DIN_GRP7_21 SE1_DIN_GRP7_22 SE1_DIN_GRP7_23 SE1_DIN_GRP7_24 SE1_DIN_GRP7_25 SE1_DIN_GRP7_26 SE1_DIN_GRP7_27 SE1_DIN_GRP7_28 SE1_DIN_GRP7_29 SE1_DIN_GRP7_30 SE1_DIN_GRP7_31
56 39 25 10
31 30 VCC_A U30 C528 R1_GRP6+ R1_GRP6.1uF .1uF VDD R167 10K 22 26 23 36 35 12 11 28 29 C529 18 19 HSIN+ HSINRXDIV0 RXDIV1 RXFLGENB PASSENB ESMPXENB WSYNCDSB RESETN TSTCLK REFCLK
48 41 8 1
HDMP-1034 RCVR
20 17 16 VCC_HS
SE1_DIN_GRP7_[31-16]
SE_CLK_R7 3 2 63 62 61 60 59 58 55 54 53 52 51 50 47 46 45 44 43 6 5 4 37 38 SE1_DIN_GRP6_16 SE1_DIN_GRP6_17 SE1_DIN_GRP6_18 SE1_DIN_GRP6_19 SE1_DIN_GRP6_20 SE1_DIN_GRP6_21 SE1_DIN_GRP6_22 SE1_DIN_GRP6_23 SE1_DIN_GRP6_24 SE1_DIN_GRP6_25 SE1_DIN_GRP6_26 SE1_DIN_GRP6_27 SE1_DIN_GRP6_28 SE1_DIN_GRP6_29 SE1_DIN_GRP6_30 SE1_DIN_GRP6_31
RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1
SE1_DIN_GRP7_[31-16] SE1_SOC_IN_GRP7
2
64 57 49 42 7 VCC_TTL VCC_TTL VCC_TTL VCC_TTL VCC_TTL
40 24 9 VCC VCC VCC RX0 RX1 RX2 RX3 RX4 RX5 RX6 RX7 RX8 RX9 RX10 RX11 RX12 RX13 RX14 RX15 RXFLAG RXDATA RXCNTL RXDSLIP RXERROR RXREADY RXCLK0 RXCLK1 R166 100 SE1_DIN_GRP6_[31-16] SE1_DIN_GRP6_[31-16] SE1_SOC_IN_GRP6 SE1_RXGRP6_ERROR SE1_RXGRP6_READY
SE1_RXGRP7_ERROR SE1_RXGRP7_READY
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS 20 17 GND_A 31
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C23 .22uF GND GND GND GND 56 39 25 10
48 41 8 1
SE_CLK_R7
1
13 34
SHFIN SRQIN GND_TTL GND_TTL GND_TTL GND_TTL GND_HS GND_HS GND_A
14 SHFOUT 15 SRQOUT 32 RXCAP0 33 RXCAP1 C24 .22uF PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-776 FAX: (408) 441-7925
Title
1
GND GND GND GND
R168 100
56 39 25 10
31
20 17
48 41 8 1
QSE1 RCVR SERIALIZERS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
19 of
28
A
B
C
D
E
GROUP 0
U21B
4
SE1_SOC_IN_GRP0
BP1_ACK_IN0 SE1_DIN_GRP0_0 SE1_DIN_GRP0_1 SE1_DIN_GRP0_2 SE1_DIN_GRP0_3 BP1_ACK_IN1 SE1_DIN_GRP0_4 SE1_DIN_GRP0_5 SE1_DIN_GRP0_6 SE1_DIN_GRP0_7 BP1_ACK_IN2 SE1_DIN_GRP0_8 SE1_DIN_GRP0_9 SE1_DIN_GRP0_10 SE1_DIN_GRP0_11 BP1_ACK_IN3 SE1_DIN_GRP0_12 SE1_DIN_GRP0_13 SE1_DIN_GRP0_14 SE1_DIN_GRP0_15
BP1_ACK_IN[3-0]
BP1_ACK_IN[3-0]
SE1_DIN_GRP0_[15-0]
SE1_DIN_GRP0_[15-0]
SOC_IN0 BP_ACK_IN0 E19 D20 P0_D_IN0 A23 P0_D_IN1 C19 P0_D_IN2 P0_D_IN3 C18 K30 SOC_IN1 BP_ACK_IN1 D18 A24 P1_D_IN0 A21 P1_D_IN1 B20 P1_D_IN2 P1_D_IN3 A20 G30 S0C_IN2 BP_ACK_IN2 F17 E18 P2_D_IN0 F16 P2_D_IN1 B19 P2_D_IN2 P2_D_IN3 D16 N27 S0C_IN3 BP_ACK_IN3 E17 B18 P3_D_IN0 E16 P3_D_IN1 D17 P3_D_IN2 P3_D_IN3
B22 L29
N26 SOC_OUT0 AB5 BP_ACK_OUT0 P26 P0_D_OUT0 L30 P0_D_OUT1 M29 P0_D_OUT2 R25 P0_D_OUT3 BP_ACK_OUT1 AF2
4
BP1_ACK_OUT0 SE1_DOUT_GRP0_0 SE1_DOUT_GRP0_1 SE1_DOUT_GRP0_2 SE1_DOUT_GRP0_3 BP1_ACK_OUT1 SE1_DOUT_GRP0_4 SE1_DOUT_GRP0_5 SE1_DOUT_GRP0_6 SE1_DOUT_GRP0_7 BP1_ACK_OUT2 SE1_DOUT_GRP0_8 SE1_DOUT_GRP0_9 SE1_DOUT_GRP0_10 SE1_DOUT_GRP0_11 BP1_ACK_OUT3 SE1_DOUT_GRP0_12 SE1_DOUT_GRP0_13 SE1_DOUT_GRP0_14 SE1_DOUT_GRP0_15
SE1_SOC_OUT_GRP0
GROUP 1
U21C B15 J29 SOC_IN4 BP_ACK_IN4 C17 A17 P4_D_IN0 A19 P4_D_IN1 B16 P4_D_IN2 P4_D_IN3 A12 M28 S0C_IN5 BP_ACK_IN5 A16 A14 P5_D_IN0 A15 P5_D_IN1 D15 P5_D_IN2 P5_D_IN3 D14 H30 SOC_IN6 BP_ACK_IN6 E15 B13 P6_D_IN0 C14 P6_D_IN1 A11 P6_D_IN2 P6_D_IN3 B12 L27 SOC_IN7 BP_ACK_IN7 F15 E14 P7_D_IN0 F14 P7_D_IN1 C13 P7_D_IN2 P7_D_IN3 T27 SOC_OUT1 AB6 BP_ACK_OUT4 U28 P4_D_OUT0 V29 P4_D_OUT1 T26 P4_D_OUT2 W30 P4_D_OUT3 BP_ACK_OUT5 AE3
R27 P1_D_OUT0 P27 P1_D_OUT1 R26 P1_D_OUT2 N29 P1_D_OUT3 BP_ACK_OUT2 AA6
SE1_SOC_IN_GRP1
BP1_ACK_IN4 SE1_DIN_GRP1_0 SE1_DIN_GRP1_1 SE1_DIN_GRP1_2 SE1_DIN_GRP1_3 BP1_ACK_IN5 SE1_DIN_GRP1_4 SE1_DIN_GRP1_5 SE1_DIN_GRP1_6 SE1_DIN_GRP1_7 BP1_ACK_IN6
BP1_ACK_OUT4 SE1_DOUT_GRP1_0 SE1_DOUT_GRP1_1 SE1_DOUT_GRP1_2 SE1_DOUT_GRP1_3 BP1_ACK_OUT5 SE1_DOUT_GRP1_4 SE1_DOUT_GRP1_5 SE1_DOUT_GRP1_6 SE1_DOUT_GRP1_7 BP1_ACK_OUT6
SE1_SOC_OUT_GRP1
R29 P2_D_OUT0 M30 P2_D_OUT1 P30 P2_D_OUT2 P28 P2_D_OUT3 BP_ACK_OUT3 AG2
T30 P3_D_OUT0 U30 P3_D_OUT1 R30 P3_D_OUT2 T29 P3_D_OUT3
BP1_ACK_OUT[3-0]
BP1_ACK_OUT[3-0]
U26 P5_D_OUT0 T25 P5_D_OUT1 U27 P5_D_OUT2 Y30 P5_D_OUT3 BP_ACK_OUT6 AC5
SE1_DOUT_GRP0_[15-0]
SE1_DOUT_GRP0_[15-0]
PMC73488 QSE
3
SE1_DIN_GRP1_8 SE1_DIN_GRP1_9 SE1_DIN_GRP1_10 SE1_DIN_GRP1_11 BP1_ACK_IN7 SE1_DIN_GRP1_12 SE1_DIN_GRP1_13 SE1_DIN_GRP1_14 SE1_DIN_GRP1_15
AA30 SE1_DOUT_GRP1_8 P6_D_OUT0 W29 SE1_DOUT_GRP1_9 P6_D_OUT1 V28 SE1_DOUT_GRP1_10 P6_D_OUT2 U25 SE1_DOUT_GRP1_11 P6_D_OUT3 BP_ACK_OUT7 AH2 BP1_ACK_OUT7 SE1_DOUT_GRP1_12 SE1_DOUT_GRP1_13 SE1_DOUT_GRP1_14 SE1_DOUT_GRP1_15
3
BP1_ACK_IN[7-4]
BP1_ACK_IN[7-4]
SE1_DIN_GRP1_[15-0]
SE1_DIN_GRP1_[15-0]
W28 P7_D_OUT0 Y29 P7_D_OUT1 V27 P7_D_OUT2 V26 P7_D_OUT3
BP1_ACK_OUT[7-4]
BP1_ACK_OUT[7-4]
SE1_DOUT_GRP1_[15-0]
SE1_DOUT_GRP1_[15-0]
PMC73488 QSE
GROUP 2
U21D C12 SOC_OUT2 M26 SOC_IN8 BP_ACK_IN8 BP_ACK_OUT8 A10 P8_D_OUT0 E13 P8_D_IN0 P8_D_OUT1 D13 P8_D_IN1 P8_D_OUT2 B11 P8_D_IN2 P8_D_IN3 P8_D_OUT3 C10 SOC_IN9 H29 BP_ACK_IN9 BP_ACK_OUT9 A8 P9_D_OUT0 A7 P9_D_IN0 P9_D_OUT1 E12 P9_D_IN1 P9_D_OUT2 B9 P9_D_IN2 P9_D_IN3 P9_D_OUT3 E11 K28 SOC_IN10 BP_ACK_IN10BP_ACK_OUT10 D11 P10_D_OUT0 F13 P10_D_IN0 P10_D_OUT1 F12 P10_D_IN1 P10_D_OUT2 B8 P10_D_IN2 P10_D_IN3 P10_D_OUT3 E10 SOC_IN11 F30 BP_ACK_IN11BP_ACK_OUT11 A6 P11_D_OUT0 B7 P11_D_IN0 P11_D_OUT1 C9 P11_D_IN1 P11_D_OUT2 D10 P11_D_IN2 P11_D_IN3 P11_D_OUT3 AC30 AD4 AA28 AB29 W26 AD30 AD6 AC29 W25 V25 Y27 AG3 AB28 AD29 AE30 Y26 AE4 AC28 AG30 AA26 AA27
SE1_SOC_IN_GRP2
BP1_ACK_IN8 SE1_DIN_GRP2_0 SE1_DIN_GRP2_1 SE1_DIN_GRP2_2 SE1_DIN_GRP2_3 BP1_ACK_IN9
BP1_ACK_OUT8 SE1_DOUT_GRP2_0 SE1_DOUT_GRP2_1 SE1_DOUT_GRP2_2 SE1_DOUT_GRP2_3 BP1_ACK_OUT9 SE1_DOUT_GRP2_4 SE1_DOUT_GRP2_5 SE1_DOUT_GRP2_6 SE1_DOUT_GRP2_7 BP1_ACK_OUT10 SE1_DOUT_GRP2_8 SE1_DOUT_GRP2_9 SE1_DOUT_GRP2_10 SE1_DOUT_GRP2_11 BP1_ACK_OUT11 SE1_DOUT_GRP2_12 SE1_DOUT_GRP2_13 SE1_DOUT_GRP2_14 SE1_DOUT_GRP2_15
SE1_SOC_OUT_GRP2
GROUP 3
U21E
2
2
SE1_DIN_GRP2_4 SE1_DIN_GRP2_5 SE1_DIN_GRP2_6 SE1_DIN_GRP2_7 BP1_ACK_IN10 SE1_DIN_GRP2_8 SE1_DIN_GRP2_9 SE1_DIN_GRP2_10 SE1_DIN_GRP2_11 BP1_ACK_IN11 SE1_DIN_GRP2_12 SE1_DIN_GRP2_13 SE1_DIN_GRP2_14 SE1_DIN_GRP2_15
SE1_SOC_IN_GRP3
BP1_ACK_IN12 SE1_DIN_GRP3_0 SE1_DIN_GRP3_1 SE1_DIN_GRP3_2 SE1_DIN_GRP3_3 BP1_ACK_IN13 SE1_DIN_GRP3_4 SE1_DIN_GRP3_5 SE1_DIN_GRP3_6 SE1_DIN_GRP3_7 BP1_ACK_IN14
BP1_ACK_IN[11-8]
BP1_ACK_IN[11-8]
BP1_ACK_OUT[11-8]
BP1_ACK_OUT[11-8]
SE1_DIN_GRP2_[15-0]
SE1_DIN_GRP2_[15-0]
SE1_DOUT_GRP2_[15-0]
SE1_DOUT_GRP2_[15-0]
PMC73488 QSE
SE1_DIN_GRP3_8 SE1_DIN_GRP3_9 SE1_DIN_GRP3_10 SE1_DIN_GRP3_11 BP1_ACK_IN15
BP1_ACK_IN[15-12]
BP1_ACK_IN[15-12]
SE1_DIN_GRP3_12 SE1_DIN_GRP3_13 SE1_DIN_GRP3_14 SE1_DIN_GRP3_15
SE1_DIN_GRP3_[15-0]
SE1_DIN_GRP3_[15-0]
E9 SOC_OUT3 N25 SOC_IN12 BP_ACK_IN12BP_ACK_OUT12 A4 P12_D_OUT0 C8 P12_D_IN0 P12_D_OUT1 D9 P12_D_IN1 P12_D_OUT2 A3 P12_D_IN2 P12_D_IN3 P12_D_OUT3 C6 M25 SOC_IN13 BP_ACK_IN13BP_ACK_OUT13 B5 P13_D_OUT0 F10 P13_D_IN0 P13_D_OUT1 B4 P13_D_IN1 P13_D_OUT2 F9 P13_D_IN2 P13_D_IN3 P13_D_OUT3 C4 J28 SOC_IN14 BP_ACK_IN14BP_ACK_OUT14 E8 P14_D_OUT0 B3 P14_D_IN0 P14_D_OUT1 D7 P14_D_IN1 P14_D_OUT2 F7 P14_D_IN2 P14_D_IN3 P14_D_OUT3 A2 L26 SOC_IN15 BP_ACK_IN15BP_ACK_OUT15 D6 P15_D_OUT0 E7 P15_D_IN0 P15_D_OUT1 E6 P15_D_IN1 P15_D_OUT2 D5 P15_D_IN2 P15_D_IN3 P15_D_OUT3
AB27 AD5 BP1_ACK_OUT12 AA25 AF29 AB26 AH30 AE5 AC26 AE28 AB25 AG29 AF4 AG28 AD25 AD27 AH29 AJ1 AK29 AE26 AD26 AE27 SE1_DOUT_GRP3_0 SE1_DOUT_GRP3_1 SE1_DOUT_GRP3_2 SE1_DOUT_GRP3_3 BP1_ACK_OUT13 SE1_DOUT_GRP3_4 SE1_DOUT_GRP3_5 SE1_DOUT_GRP3_6 SE1_DOUT_GRP3_7 BP1_ACK_OUT14 SE1_DOUT_GRP3_8 SE1_DOUT_GRP3_9 SE1_DOUT_GRP3_10 SE1_DOUT_GRP3_11 BP1_ACK_OUT15 SE1_DOUT_GRP3_12 SE1_DOUT_GRP3_13 SE1_DOUT_GRP3_14 SE1_DOUT_GRP3_15 BP1_ACK_OUT[15-12]
SE1_SOC_OUT_GRP3
BP1_ACK_OUT[15-12]
SE1_DOUT_GRP3_[15-0]
SE1_DOUT_GRP3_[15-0]
PMC73488 QSE
1 1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE1 SWITCH ELEMENTS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
20 of
28
A
B
C
D
E
GROUP 4
U21F
4
SE1_SOC_IN_GRP4
BP1_ACK_IN16 SE1_DIN_GRP4_16 SE1_DIN_GRP4_17 SE1_DIN_GRP4_18 SE1_DIN_GRP4_19 BP1_ACK_IN17 SE1_DIN_GRP4_20 SE1_DIN_GRP4_21 SE1_DIN_GRP4_22 SE1_DIN_GRP4_23 BP1_ACK_IN18 SE1_DIN_GRP4_24 SE1_DIN_GRP4_25 SE1_DIN_GRP4_26 SE1_DIN_GRP4_27 BP1_ACK_IN19 SE1_DIN_GRP4_28 SE1_DIN_GRP4_29 SE1_DIN_GRP4_30 SE1_DIN_GRP4_31
J5 D30 F3 C1 D2 H3 D1 G29 K5 K4 J4 G2 F1 J27
AG25 SOC_IN16 SOC_OUT4 AK2 BP_ACK_IN16BP_ACK_OUT16 AF24 P16_D_IN0 P16_D_OUT0 AG24 P16_D_IN1 P16_D_OUT1 AE24 P16_D_IN2 P16_D_OUT2 AG26 P16_D_IN3 P16_D_OUT3 SOC_IN17 AG5 BP_ACK_IN17BP_ACK_OUT17 AJ28 P17_D_IN0 P17_D_OUT0 AE22 P17_D_IN1 P17_D_OUT1 AH27 P17_D_IN2 P17_D_OUT2 AF23 P17_D_IN3 P17_D_OUT3 AF6 AK28 AH25 AJ26 AE21 AF7
4
BP1_ACK_OUT16 SE1_DOUT_GRP4_16 SE1_DOUT_GRP4_17 SE1_DOUT_GRP4_18 SE1_DOUT_GRP4_19 BP1_ACK_OUT17 SE1_DOUT_GRP4_20 SE1_DOUT_GRP4_21 SE1_DOUT_GRP4_22 SE1_DOUT_GRP4_23 BP1_ACK_OUT18 SE1_DOUT_GRP4_24 SE1_DOUT_GRP4_25 SE1_DOUT_GRP4_26 SE1_DOUT_GRP4_27 BP1_ACK_OUT19
SE1_SOC_OUT_GRP4
GROUP 5
U21G K1 K26 AG21 AG6 BP1_ACK_OUT20 AF20 AK27 AJ24 AG22 AH4 AK25 AE18 AE19 AH22 AE7 AG20 AF19 AJ23 AH21 AG7 AG18 AJ22 AH19 AK23 SE1_DOUT_GRP5_16 SE1_DOUT_GRP5_17 SE1_DOUT_GRP5_18 SE1_DOUT_GRP5_19 BP1_ACK_OUT21 SE1_DOUT_GRP5_20 SE1_DOUT_GRP5_21 SE1_DOUT_GRP5_22 SE1_DOUT_GRP5_23 BP1_ACK_OUT22 SE1_DOUT_GRP5_24 SE1_DOUT_GRP5_25 SE1_DOUT_GRP5_26 SE1_DOUT_GRP5_27 BP1_ACK_OUT23 SE1_DOUT_GRP5_28 SE1_DOUT_GRP5_29 SE1_DOUT_GRP5_30 SE1_DOUT_GRP5_31
3
SE1_SOC_IN_GRP5
BP1_ACK_IN20 SE1_DIN_GRP5_16 SE1_DIN_GRP5_17 SE1_DIN_GRP5_18 SE1_DIN_GRP5_19 BP1_ACK_IN21 SE1_DIN_GRP5_20 SE1_DIN_GRP5_21 SE1_DIN_GRP5_22 SE1_DIN_GRP5_23 BP1_ACK_IN22
BP1_ACK_IN[19-16]
BP1_ACK_IN[19-16]
SE1_DIN_GRP4_[31-16]
SE1_DIN_GRP4_[31-16]
SOC_IN18 BP_ACK_IN18BP_ACK_OUT18 L5 P18_D_OUT0 J3 P18_D_IN0 P18_D_OUT1 M6 P18_D_IN1 P18_D_OUT2 N6 P18_D_IN2 P18_D_IN3 P18_D_OUT3 H1 SOC_IN19 K27 BP_ACK_IN19BP_ACK_OUT19 K3 P19_D_OUT0 H2 P19_D_IN0 P19_D_OUT1 M5 P19_D_IN1 P19_D_OUT2 L4 P19_D_IN2 P19_D_IN3 P19_D_OUT3
AF21 SE1_DOUT_GRP4_28 AF22 SE1_DOUT_GRP4_29 AH23 SE1_DOUT_GRP4_30 AJ27 SE1_DOUT_GRP4_31
BP1_ACK_OUT[19-16]
BP1_ACK_OUT[19-16]
SE1_DOUT_GRP4_[31-16]
SE1_DOUT_GRP4_[31-16]
PMC73488 QSE
3
SE1_DIN_GRP5_24 SE1_DIN_GRP5_25 SE1_DIN_GRP5_26 SE1_DIN_GRP5_27 BP1_ACK_IN23 SE1_DIN_GRP5_28 SE1_DIN_GRP5_29 SE1_DIN_GRP5_30 SE1_DIN_GRP5_31
BP1_ACK_IN[23-20]
BP1_ACK_IN[23-20]
SE1_DIN_GRP5_[31-16]
SE1_DIN_GRP5_[31-16]
SOC_IN20 SOC_OUT5 BP_ACK_IN20BP_ACK_OUT20 M3 P20_D_OUT0 J2 P20_D_IN0 P20_D_OUT1 N4 P20_D_IN1 P20_D_OUT2 G1 P20_D_IN2 P20_D_IN3 P20_D_OUT3 R6 J26 SOC_IN21 BP_ACK_IN21BP_ACK_OUT21 L2 P21_D_OUT0 N3 P21_D_IN0 P21_D_OUT1 P6 P21_D_IN1 P21_D_OUT2 N5 P21_D_IN2 P21_D_IN3 P21_D_OUT3 R5 H28 SOC_IN22 BP_ACK_IN22BP_ACK_OUT22 M2 P22_D_OUT0 L1 P22_D_IN0 P22_D_OUT1 P5 P22_D_IN1 P22_D_OUT2 N2 P22_D_IN2 P22_D_IN3 P22_D_OUT3 M1 D29 SOC_IN23 BP_ACK_IN23BP_ACK_OUT23 P4 P23_D_OUT0 R4 P23_D_IN0 P23_D_OUT1 P3 P23_D_IN1 P23_D_OUT2 P1 P23_D_IN2 P23_D_IN3 P23_D_OUT3
SE1_SOC_OUT_GRP5
BP1_ACK_OUT[23-20]
BP1_ACK_OUT[23-20]
SE1_DOUT_GRP5_[31-16]
SE1_DOUT_GRP5_[31-16]
PMC73488 QSE
GROUP 6
U21H T1 C30 R2 T2 R1 U1 U3 F28 T4 W1 T5 V2 AK24 SOC_IN24 SOC_OUT6 AJ3 BP_ACK_IN24BP_ACK_OUT24 AE17 P24_D_IN0 P24_D_OUT0 AH18 P24_D_IN1 P24_D_OUT1 AJ20 P24_D_IN2 P24_D_OUT2 AK21 P24_D_IN3 P24_D_OUT3 SOC_IN25 AF8 BP_ACK_IN25BP_ACK_OUT25 AK20 P25_D_IN0 P25_D_OUT0 AJ19 P25_D_IN1 P25_D_OUT1 AE16 P25_D_IN2 P25_D_OUT2 AF18 P25_D_IN3 P25_D_OUT3 AH6 AG17 AF16 AJ18 AF17 AE9 AK19 AK17 AH17 AG16
SE1_SOC_IN_GRP6
BP1_ACK_IN24 SE1_DIN_GRP6_16 SE1_DIN_GRP6_17 SE1_DIN_GRP6_18 SE1_DIN_GRP6_19 BP1_ACK_IN25
BP1_ACK_OUT24 SE1_DOUT_GRP6_16 SE1_DOUT_GRP6_17 SE1_DOUT_GRP6_18 SE1_DOUT_GRP6_19 BP1_ACK_OUT25 SE1_DOUT_GRP6_20 SE1_DOUT_GRP6_21 SE1_DOUT_GRP6_22 SE1_DOUT_GRP6_23 BP1_ACK_OUT26 SE1_DOUT_GRP6_24 SE1_DOUT_GRP6_25 SE1_DOUT_GRP6_26 SE1_DOUT_GRP6_27 BP1_ACK_OUT27 SE1_DOUT_GRP6_28 SE1_DOUT_GRP6_29 SE1_DOUT_GRP6_30 SE1_DOUT_GRP6_31
SE1_SOC_OUT_GRP6
GROUP 7
U21I
2
2
SE1_DIN_GRP6_20 SE1_DIN_GRP6_21 SE1_DIN_GRP6_22 SE1_DIN_GRP6_23 BP1_ACK_IN26 SE1_DIN_GRP6_24 SE1_DIN_GRP6_25 SE1_DIN_GRP6_26 SE1_DIN_GRP6_27 BP1_ACK_IN27 SE1_DIN_GRP6_28 SE1_DIN_GRP6_29 SE1_DIN_GRP6_30 SE1_DIN_GRP6_31
SE1_SOC_IN_GRP7
BP1_ACK_IN28 SE1_DIN_GRP7_16 SE1_DIN_GRP7_17 SE1_DIN_GRP7_18 SE1_DIN_GRP7_19 BP1_ACK_IN29 SE1_DIN_GRP7_20 SE1_DIN_GRP7_21 SE1_DIN_GRP7_22 SE1_DIN_GRP7_23 BP1_ACK_IN30
BP1_ACK_IN[27-24]
BP1_ACK_IN[27-24]
SE1_DIN_GRP6_[31-16]
SE1_DIN_GRP6_[31-16]
U6 E29 SOC_IN26 BP_ACK_IN26BP_ACK_OUT26 Y1 P26_D_OUT0 U4 P26_D_IN0 P26_D_OUT1 T6 P26_D_IN1 P26_D_OUT2 U5 P26_D_IN2 P26_D_IN3 P26_D_OUT3 V4 SOC_IN27 K25 BP_ACK_IN27BP_ACK_OUT27 V3 P27_D_OUT0 W2 P27_D_IN0 P27_D_OUT1 AA1 P27_D_IN1 P27_D_OUT2 V5 P27_D_IN2 P27_D_IN3 P27_D_OUT3
BP1_ACK_OUT[27-24]
BP1_ACK_OUT[27-24]
SE1_DOUT_GRP6_[31-16]
SE1_DOUT_GRP6_[31-16]
PMC73488 QSE
SE1_DIN_GRP7_24 SE1_DIN_GRP7_25 SE1_DIN_GRP7_26 SE1_DIN_GRP7_27 BP1_ACK_IN31
BP1_ACK_IN[31-28]
BP1_ACK_IN[31-28]
SE1_DIN_GRP7_28 SE1_DIN_GRP7_29 SE1_DIN_GRP7_30 SE1_DIN_GRP7_31
SE1_DIN_GRP7_[31-16]
SE1_DIN_GRP7_[31-16]
W5 SOC_OUT7 C29 SOC_IN28 BP_ACK_IN28BP_ACK_OUT28 Y2 P28_D_OUT0 W3 P28_D_IN0 P28_D_OUT1 AC1 P28_D_IN1 P28_D_OUT2 AD1 P28_D_IN2 P28_D_IN3 P28_D_OUT3 W6 J25 SOC_IN29 BP_ACK_IN29BP_ACK_OUT29 AB2 P29_D_OUT0 AA3 P29_D_IN0 P29_D_OUT1 Y4 P29_D_IN1 P29_D_OUT2 V6 P29_D_IN2 P29_D_IN3 P29_D_OUT3 AB3 D28 SOC_IN30 BP_D_IN30 BP_D_OUT30 AC2 P30_D_OUT0 Y5 P30_D_IN0 P30_D_OUT1 AE1 P30_D_IN1 P30_D_OUT2 AD2 P30_D_IN2 P30_D_IN3 P30_D_OUT3 AB4 H26 SOC_IN31 BP_ACK_IN31BP_ACK_OUT31 AA4 P31_D_OUT0 AA5 P31_D_IN0 P31_D_OUT1 AG1 P31_D_IN1 P31_D_OUT2 AC3 P31_D_IN2 P31_D_IN3 P31_D_OUT3
AJ15 AJ4 BP1_ACK_OUT28 AG15 AK15 AK14 AK16 SE1_DOUT_GRP7_16 SE1_DOUT_GRP7_17 SE1_DOUT_GRP7_18 SE1_DOUT_GRP7_19
SE1_SOC_OUT_GRP7
AE10 BP1_ACK_OUT29 AH14 AJ13 AF15 AK12 AJ5 AF14 AE15 AG14 AK11 AF9 AK10 AJ12 AH13 AE14 SE1_DOUT_GRP7_20 SE1_DOUT_GRP7_21 SE1_DOUT_GRP7_22 SE1_DOUT_GRP7_23 BP1_ACK_OUT30 SE1_DOUT_GRP7_24 SE1_DOUT_GRP7_25 SE1_DOUT_GRP7_26 SE1_DOUT_GRP7_27 BP1_ACK_OUT31 SE1_DOUT_GRP7_28 SE1_DOUT_GRP7_29 SE1_DOUT_GRP7_30 SE1_DOUT_GRP7_31 BP1_ACK_OUT[31-28] BP1_ACK_OUT[31-28]
SE1_DOUT_GRP7_[31-16]
SE1_DOUT_GRP7_[31-16]
PMC73488 QSE
1 1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE1 SWITCH ELEMENTS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
21 of
28
A
B
C
D
E
GROUP 0
VDD_A VDD
57 31 VCC_A2 VCC_A1
17
41 8
64 49 36 24 13
U31
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
4
SE1_DOUT_GRP0_[15-0] SE1_SOC_OUT_GRP0
SE1_DOUT_GRP0_[15-0]
SE1_DOUT_GRP0_0 SE1_DOUT_GRP0_1 SE1_DOUT_GRP0_2 SE1_DOUT_GRP0_3 SE1_DOUT_GRP0_4 SE1_DOUT_GRP0_5 SE1_DOUT_GRP0_6 SE1_DOUT_GRP0_7 SE1_DOUT_GRP0_8 SE1_DOUT_GRP0_9 SE1_DOUT_GRP0_10 SE1_DOUT_GRP0_11 SE1_DOUT_GRP0_12 SE1_DOUT_GRP0_13 SE1_DOUT_GRP0_14 SE1_DOUT_GRP0_15
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T1_GRP0+ T1_GRP0-
4
GROUP 1
VDD_A VDD
HDMP-1032 XMTR
57 31
17
41 8
64 49 36 24 13
U32 R169 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T1_LOCKED0 SE1_DOUT_GRP1_0 SE1_DOUT_GRP1_1 SE1_DOUT_GRP1_2 SE1_DOUT_GRP1_3 SE1_DOUT_GRP1_4 SE1_DOUT_GRP1_5 SE1_DOUT_GRP1_6 SE1_DOUT_GRP1_7 SE1_DOUT_GRP1_8 SE1_DOUT_GRP1_9 SE1_DOUT_GRP1_10 SE1_DOUT_GRP1_11 SE1_DOUT_GRP1_12 SE1_DOUT_GRP1_13 SE1_DOUT_GRP1_14 SE1_DOUT_GRP1_15 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
VDD 10K
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C25 .22uF
HSOUT+ HSOUT-
20 19
T1_GRP1+ T1_GRP1-
GND_A2 GND_A1
R170 100
HDMP-1032 XMTR
48 35 25 14 1
40 9
56 30
18
SE1_DOUT_GRP1_[15-0]
3
SE1_DOUT_GRP1_[15-0]
SE1_SOC_OUT_GRP1 VDD
R171 10K
SE_CLK_T4
GROUP 2
VDD_A VDD R172 100 57 31 VCC_A2 VCC_A1 17 41 8 64 49 36 24 13 U33
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T1_LOCKED1
3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS 18
32 33 C26 .22uF
GND_A2 GND_A1 56 30
48 35 25 14 1
40 9
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
2
SE1_DOUT_GRP2_[15-0] SE1_SOC_OUT_GRP2
SE1_DOUT_GRP2_[15-0]
SE1_DOUT_GRP2_0 SE1_DOUT_GRP2_1 SE1_DOUT_GRP2_2 SE1_DOUT_GRP2_3 SE1_DOUT_GRP2_4 SE1_DOUT_GRP2_5 SE1_DOUT_GRP2_6 SE1_DOUT_GRP2_7 SE1_DOUT_GRP2_8 SE1_DOUT_GRP2_9 SE1_DOUT_GRP2_10 SE1_DOUT_GRP2_11 SE1_DOUT_GRP2_12 SE1_DOUT_GRP2_13 SE1_DOUT_GRP2_14 SE1_DOUT_GRP2_15
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T1_GRP2+ T1_GRP2-
GROUP 3
VDD_A VDD
HDMP-1032 XMTR
2
57 31
17
41 8
64 49 36 24 13
U34 R173 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T1_LOCKED2 SE1_DOUT_GRP3_0 SE1_DOUT_GRP3_1 SE1_DOUT_GRP3_2 SE1_DOUT_GRP3_3 SE1_DOUT_GRP3_4 SE1_DOUT_GRP3_5 SE1_DOUT_GRP3_6 SE1_DOUT_GRP3_7 SE1_DOUT_GRP3_8 SE1_DOUT_GRP3_9 SE1_DOUT_GRP3_10 SE1_DOUT_GRP3_11 SE1_DOUT_GRP3_12 SE1_DOUT_GRP3_13 SE1_DOUT_GRP3_14 SE1_DOUT_GRP3_15 SE1_DOUT_GRP3_[15-0] R175 VDD 10K 6 4 5 26 27 10 28 37 11 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
VDD 10K
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C27 .22uF
HSOUT+ HSOUT-
20 19
T1_GRP3+ T1_GRP3-
GND_A2 GND_A1
R174 100
HDMP-1032 XMTR
48 35 25 14 1
56 30
18
40 9
SE1_DOUT_GRP3_[15-0] SE1_SOC_OUT_GRP3
SE_CLK_T5
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T1_LOCKED3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C28 .22uF
GND_A2 GND_A1
1
1
R176 100
48 35 25 14 1
56 30
18
40 9
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE1 XMTR SERIALIZERS (GRP0-3)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
22 of
28
A
B
C
D
E
GROUP 4
VDD_A VDD
57 31 VCC_A2 VCC_A1
17
41 8
64 49 36 24 13
U35
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
4
SE1_DOUT_GRP4_[31-16] SE1_SOC_OUT_GRP4
SE1_DOUT_GRP4_[31-16] R177 VDD 10K
SE1_DOUT_GRP4_16 SE1_DOUT_GRP4_17 SE1_DOUT_GRP4_18 SE1_DOUT_GRP4_19 SE1_DOUT_GRP4_20 SE1_DOUT_GRP4_21 SE1_DOUT_GRP4_22 SE1_DOUT_GRP4_23 SE1_DOUT_GRP4_24 SE1_DOUT_GRP4_25 SE1_DOUT_GRP4_26 SE1_DOUT_GRP4_27 SE1_DOUT_GRP4_28 SE1_DOUT_GRP4_29 SE1_DOUT_GRP4_30 SE1_DOUT_GRP4_31
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T1_GRP4+ T1_GRP4-
4
GROUP 5
VDD_A VDD
HDMP-1032 XMTR
57 31
17
41 8
64 49 36 24 13
U36 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T1_LOCKED4 SE1_DOUT_GRP5_16 SE1_DOUT_GRP5_17 SE1_DOUT_GRP5_18 SE1_DOUT_GRP5_19 SE1_DOUT_GRP5_20 SE1_DOUT_GRP5_21 SE1_DOUT_GRP5_22 SE1_DOUT_GRP5_23 SE1_DOUT_GRP5_24 SE1_DOUT_GRP5_25 SE1_DOUT_GRP5_26 SE1_DOUT_GRP5_27 SE1_DOUT_GRP5_28 SE1_DOUT_GRP5_29 SE1_DOUT_GRP5_30 SE1_DOUT_GRP5_31 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC HSOUT+ HSOUT20 19 T1_GRP5+ T1_GRP5-
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C29 .22uF
GND_A2 GND_A1
R178 100
HDMP-1032 XMTR
48 35 25 14 1
40 9
56 30
18
SE1_DOUT_GRP5_[31-16]
3
SE1_DOUT_GRP5_[31-16]
SE1_SOC_OUT_GRP5 VDD
R179 10K
SE_CLK_T6
GROUP 6
VDD_A VDD R180 100 57 31 VCC_A2 VCC_A1 17 41 8 64 49 36 24 13 U37
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T1_LOCKED5
3
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS 18
32 33 C30 .22uF
GND_A2 GND_A1 56 30
48 35 25 14 1
40 9
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC
2
SE1_DOUT_GRP6_[31-16] SE1_SOC_OUT_GRP6
SE1_DOUT_GRP6_[31-16] R181 VDD 10K
SE1_DOUT_GRP6_16 SE1_DOUT_GRP6_17 SE1_DOUT_GRP6_18 SE1_DOUT_GRP6_19 SE1_DOUT_GRP6_20 SE1_DOUT_GRP6_21 SE1_DOUT_GRP6_22 SE1_DOUT_GRP6_23 SE1_DOUT_GRP6_24 SE1_DOUT_GRP6_25 SE1_DOUT_GRP6_26 SE1_DOUT_GRP6_27 SE1_DOUT_GRP6_28 SE1_DOUT_GRP6_29 SE1_DOUT_GRP6_30 SE1_DOUT_GRP6_31
46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 6 4 5 26 27 10 28 37 11
TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
HSOUT+ HSOUT-
20 19
T1_GRP6+ T1_GRP6-
GROUP 7
VDD_A VDD
HDMP-1032 XMTR
2
57 31
17
41 8
64 49 36 24 13
U38 TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB LOCKED 12 T1_LOCKED6 SE1_DOUT_GRP7_16 SE1_DOUT_GRP7_17 SE1_DOUT_GRP7_18 SE1_DOUT_GRP7_19 SE1_DOUT_GRP7_20 SE1_DOUT_GRP7_21 SE1_DOUT_GRP7_22 SE1_DOUT_GRP7_23 SE1_DOUT_GRP7_24 SE1_DOUT_GRP7_25 SE1_DOUT_GRP7_26 SE1_DOUT_GRP7_27 SE1_DOUT_GRP7_28 SE1_DOUT_GRP7_29 SE1_DOUT_GRP7_30 SE1_DOUT_GRP7_31 SE1_DOUT_GRP7_[31-16] R183 VDD 10K 6 4 5 26 27 10 28 37 11 46 47 50 51 52 53 54 55 58 59 60 61 62 63 2 3 TX0 TX1 TX2 TX3 TX4 TX5 TX6 TX7 TX8 TX9 TX10 TX11 TX12 TX13 TX14 TX15
VCC_A2 VCC_A1
VCC_HS
VCC_TTL VCC_TTL
VCC VCC VCC VCC VCC HSOUT+ HSOUT20 19 T1_GRP7+ T1_GRP7-
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C31 .22uF
GND_A2 GND_A1
R182 100
HDMP-1032 XMTR
48 35 25 14 1
56 30
18
40 9
SE1_DOUT_GRP7_[31-16] SE1_SOC_OUT_GRP7
SE_CLK_T7
TXFLAG TXCNTL TXDATA TXDIV0 TXDIV1 TXFLGENB TCLKENB TXCLK ESMPXENB
LOCKED
12
T1_LOCKED7
TXCAP0 TXCAP1 GND GND GND GND GND GND_TTL GND_TTL GND_HS
32 33 C32 .22uF
GND_A2 GND_A1
1
1
R184 100
48 35 25 14 1
56 30
18
40 9
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
QSE1 XMTR SERIALIZERS (GRP4-7)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
23 of
28
A
B
C
D
E
U39 BP0_ACK_OUT0 BP0_ACK_OUT1 BP0_ACK_OUT2 BP0_ACK_OUT3 BP0_ACK_OUT4 BP0_ACK_OUT5 BP0_ACK_OUT6 BP0_ACK_OUT7 BP0_ACK_OUT8 BP0_ACK_OUT9 BP0_ACK_OUT10 BP0_ACK_OUT11 BP0_ACK_OUT12 BP0_ACK_OUT13 BP0_ACK_OUT14 BP0_ACK_OUT15 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 24 48 25 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23 R185 33 R189 33 R193 33 R197 33 R186 33 R190 33 R194 33 R198 33 BP0_ACK_OUTR0 BP0_ACK_OUTR1 BP0_ACK_OUTR2 BP0_ACK_OUTR3 BP0_ACK_OUTR4 BP0_ACK_OUTR5 BP0_ACK_OUTR6 BP0_ACK_OUTR7 BP0_ACK_OUTR8 BP0_ACK_OUTR9 BP0_ACK_OUTR10 BP0_ACK_OUTR11 BP0_ACK_OUTR12 BP0_ACK_OUTR13 BP0_ACK_OUTR14 BP0_ACK_OUTR15
BP0_ACK_OUT[3-0]
4
BP0_ACK_OUT[3-0]
BP0_ACK_OUT[7-4]
BP0_ACK_OUT[7-4]
BP0_ACK_OUT[11-8]
BP0_ACK_OUT[11-8]
BP0_ACK_OUT[15-12]
BP0_ACK_OUT[15-12]
1D1 1D2 1D3 1D4 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 2D5 2D6 2D7 2D8 1OEN 2OEN 1CLK 2CLK
1Q1 1Q2 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 2Q5 2Q6 2Q7 2Q8
R187 33 R191 33 R195 33 R199 33
R188 33 R192 33 R196 33 R200 33
4
BP0_ACK_OUTR[15-0]
BP0_ACK_OUTR[15-0]
R201 100
PI74ALVCH16374A
U40 BP0_ACK_OUT16 BP0_ACK_OUT17 BP0_ACK_OUT18 BP0_ACK_OUT19 BP0_ACK_OUT20 BP0_ACK_OUT21 BP0_ACK_OUT22 BP0_ACK_OUT23 BP0_ACK_OUT24 BP0_ACK_OUT25 BP0_ACK_OUT26 BP0_ACK_OUT27 BP0_ACK_OUT28 BP0_ACK_OUT29 BP0_ACK_OUT30 BP0_ACK_OUT31 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 24 48 25 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23 R202 R203 33 R206 33 R210 33 R214 33 33 33 R207 33 R211 33 R215 R204 33 R208 33 R212 33 R216 33 R205 33 R209 33 R213 33 R217 33 BP0_ACK_OUTR16 BP0_ACK_OUTR17 BP0_ACK_OUTR18 BP0_ACK_OUTR19 BP0_ACK_OUTR20 BP0_ACK_OUTR21 BP0_ACK_OUTR22 BP0_ACK_OUTR23 BP0_ACK_OUTR24 BP0_ACK_OUTR25 BP0_ACK_OUTR26 BP0_ACK_OUTR27 BP0_ACK_OUTR28 BP0_ACK_OUTR29 BP0_ACK_OUTR30 BP0_ACK_OUTR31
BP0_ACK_OUT[19-16]
BP0_ACK_OUT[19-16]
BP0_ACK_OUT[23-20]
3
BP0_ACK_OUT[23-20]
BP0_ACK_OUT[27-24]
BP0_ACK_OUT[27-24]
BP0_ACK_OUT[31-28]
BP0_ACK_OUT[31-28]
1D1 1D2 1D3 1D4 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 2D5 2D6 2D7 2D8 1OEN 2OEN 1CLK 2CLK
1Q1 1Q2 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 2Q5 2Q6 2Q7 2Q8
3
BP0_ACK_OUTR[31-16]
BP0_ACK_OUTR[31-16]
SE_CLK_M2
R218 100
PI74ALVCH16374A
U41 BP1_ACK_OUT0 BP1_ACK_OUT1 BP1_ACK_OUT2 BP1_ACK_OUT3 BP1_ACK_OUT4 BP1_ACK_OUT5 BP1_ACK_OUT6 BP1_ACK_OUT7 BP1_ACK_OUT8 BP1_ACK_OUT9 BP1_ACK_OUT10 BP1_ACK_OUT11 BP1_ACK_OUT12 BP1_ACK_OUT13 BP1_ACK_OUT14 BP1_ACK_OUT15 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 24 48 25 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23 R219 33 R223 33 R227 33 R231 33 R220 R221 33 R224 33 R228 33 R232 33 33 33 R225 33 R229 33 R233 R222 33 R226 33 R230 33 R234 33 BP1_ACK_OUTR0 BP1_ACK_OUTR1 BP1_ACK_OUTR2 BP1_ACK_OUTR3 BP1_ACK_OUTR4 BP1_ACK_OUTR5 BP1_ACK_OUTR6 BP1_ACK_OUTR7 BP1_ACK_OUTR8 BP1_ACK_OUTR9 BP1_ACK_OUTR10 BP1_ACK_OUTR11 BP1_ACK_OUTR12 BP1_ACK_OUTR13 BP1_ACK_OUTR14 BP1_ACK_OUTR15 BP1_ACK_OUTR[15-0] BP1_ACK_OUTR[15-0]
BP1_ACK_OUT[3-0]
BP1_ACK_OUT[3-0]
BP1_ACK_OUT[7-4]
BP1_ACK_OUT[7-4]
BP1_ACK_OUT[11-8]
2
BP1_ACK_OUT[11-8]
BP1_ACK_OUT[15-12]
BP1_ACK_OUT[15-12]
1D1 1D2 1D3 1D4 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 2D5 2D6 2D7 2D8 1OEN 2OEN 1CLK 2CLK
1Q1 1Q2 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 2Q5 2Q6 2Q7 2Q8
2
R235 100
PI74ALVCH16374A
U42 BP1_ACK_OUT16 BP1_ACK_OUT17 BP1_ACK_OUT18 BP1_ACK_OUT19 BP1_ACK_OUT20 BP1_ACK_OUT21 BP1_ACK_OUT22 BP1_ACK_OUT23 BP1_ACK_OUT24 BP1_ACK_OUT25 BP1_ACK_OUT26 BP1_ACK_OUT27 BP1_ACK_OUT28 BP1_ACK_OUT29 BP1_ACK_OUT30 BP1_ACK_OUT31 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 24 48 25 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23 R236 33 R240 33 R244 33 R248 33 R237 33 R241 33 R245 33 R249 33 BP1_ACK_OUTR16 BP1_ACK_OUTR17 BP1_ACK_OUTR18 BP1_ACK_OUTR19 BP1_ACK_OUTR20 BP1_ACK_OUTR21 BP1_ACK_OUTR22 BP1_ACK_OUTR23 BP1_ACK_OUTR24 BP1_ACK_OUTR25 BP1_ACK_OUTR26 BP1_ACK_OUTR27 BP1_ACK_OUTR28 BP1_ACK_OUTR29 BP1_ACK_OUTR30 BP1_ACK_OUTR31
BP1_ACK_OUT[19-16]
BP1_ACK_OUT[19-16]
BP1_ACK_OUT[23-20]
BP1_ACK_OUT[23-20]
BP1_ACK_OUT[27-24]
BP1_ACK_OUT[27-24]
1
BP1_ACK_OUT[31-28]
BP1_ACK_OUT[31-28]
1D1 1D2 1D3 1D4 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 2D5 2D6 2D7 2D8 1OEN 2OEN 1CLK 2CLK
1Q1 1Q2 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 2Q5 2Q6 2Q7 2Q8
R238 R239 33 R242 33 R246 33 R250 33 33 33 R243 33 R247 33 R251
BP1_ACK_OUTR[31-16]
BP1_ACK_OUTR[31-16]
1
SE_CLK_M3 R252 100
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
PI74ALVCH16374A
ACK OUT REGISTERS
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
24 of
28
A
B
C
D
E
VDD
VCC R253 10k R254 10k 144 137 65 56
VDD U43 155 133 118 103 89 74 55 40 25 10
VDD
U44
4
BCPU_CLK
Note: Momentary Switch
S1 2 T0_LOCKED0 T0_LOCKED1 T0_LOCKED2 T0_LOCKED3 T0_LOCKED4 T0_LOCKED5 T0_LOCKED6 T0_LOCKED7 T1_LOCKED0 T1_LOCKED1 T1_LOCKED2 T1_LOCKED3 T1_LOCKED4 T1_LOCKED5 T1_LOCKED6 T1_LOCKED7 SE0_RXGRP0_ERROR SE0_RXGRP1_ERROR SE0_RXGRP2_ERROR SE0_RXGRP3_ERROR SE0_RXGRP4_ERROR SE0_RXGRP5_ERROR SE0_RXGRP6_ERROR SE0_RXGRP7_ERROR SE1_RXGRP0_ERROR SE1_RXGRP1_ERROR SE1_RXGRP2_ERROR SE1_RXGRP3_ERROR SE1_RXGRP4_ERROR SE1_RXGRP5_ERROR 1 3 139 141 140 142 2 4 5 6 7 8 9 12 13 14 15 16 17 19 20 21 22 24 26 27 28 29 30 31 33 34 35 36 37 38 41 42 43 44 45 46 48 49 50 51 52 53 58 59 60 61 62 63 68 69 70 71 72 73 75 76 77 78 146 23 98
STAT_LED7 STAT_LED6 STAT_LED5 STAT_LED4
2 4 6 8
-
+ + + +
1 3 5 7
4
GCLK1 GCLRN OE1 OE2/GCLK2 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O TDI TMS TCK I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O TDO 160 159 158 157 156 154 153 152 151 150 149 147 145 136 134 132 131 130 129 128 127 125 124 123 122 121 119 117 116 115 114 113 112 109 108 107 106 105 104 102 101 100 99 97 95 94 93 92 91 90 88 87 86 85 84 83 80 79 135 STAT7 STAT6 STAT5 STAT4 STAT3 STAT2 STAT1 STAT0 GRP3 GRP2 GRP1 GRP0 R267 33 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 48 25 24 R268 100 R269 100
VCCINT VCCINT VCCINT VCCINT
VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO VCCIO U45 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23 R255 100 R259 100 R263 100 R256 100 R260 100 R264 100 100 100
SSF-LXH400ID U46 R257 R258 100 R261 100 R265 100 R262 100 R266 SSF-LXH400ID U47 STAT_LED3 STAT_LED2 STAT_LED1 STAT_LED0 2 4 6 8 + + + + 1 3 5 7
EP12SD1ABE
1A0 1A1 1A2 1A3 2A0 2A1 2A2 2A3 3A0 3A1 3A2 3A3 4A0 4A1 4A2 4A3 1OEN 2OEN 3OEN 4OEN
1Y0 1Y1 1Y2 1Y3 2Y0 2Y1 2Y2 2Y3 3Y0 3Y1 3Y2 3Y3 4Y0 4Y1 4Y2 4Y3
GRP_ID_LED3 GRP_ID_LED2 GRP_ID_LED1 GRP_ID_LED0
2 4 6 8
-
+ + + +
1 3 5 7
3
TP15 TP14 TP13 TP12 R270 33 R271 33 R272
PI74LPT16244AA
SSF-LX400GD_5V
3
/ADS /RESET /PLD_CS /BRD /BWR /QSE0_ACK /QSE1_ACK /QSE0_INT /QSE1_INT MAD7 MAD6 MAD5 MAD4 MAD3 MAD2 MAD1 MAD0 MAD[7-0] MA7 MA6 MA5 MA4 MA[7-0]
/QSE_INT TP[15-12] /LRDY BD_RESET /NO_DATA_IN /NO_DATA_OUT PASS_ENB TP5 33 TEST_POINT TP6 TEST_POINT
2
2
EPM7192SQC160-10
LED DISPLAY MUX
VCC JP1 1 3 5 7 9 2 4 6 8 10
HEADER 5X2
SE1_RXGRP6_ERROR SE1_RXGRP7_ERROR SE0_RXGRP0_READY SE0_RXGRP1_READY SE0_RXGRP2_READY SE0_RXGRP3_READY SE0_RXGRP4_READY SE0_RXGRP5_READY SE0_RXGRP6_READY SE0_RXGRP7_READY SE1_RXGRP0_READY SE1_RXGRP1_READY SE1_RXGRP2_READY SE1_RXGRP3_READY SE1_RXGRP4_READY SE1_RXGRP5_READY SE1_RXGRP6_READY SE1_RXGRP7_READY
1
1
PMC_SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
LED DISPLAY
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
25 of
28
A
B
C
D
E
R273 22 VDD
4
R274 22 R278 R275 22 R279 22 22 R276 22 R280
SE_CLK_R0 SE_CLK_R1 SE_CLK_R2 SE_CLK_R3 SE_CLK_R4 SE_CLK_R5 SE_CLK_R6 SE_CLK_R7 TP7
4
R277 22
22
23 VDDIIC
46 42 37 33 29 20 16 12 7 3
U48
R281 22 4 5 8 9 13 14 17 18 31 32 35 36 40 41 44 45 21 28
R282 22
R283 TEST_POINT 33 R284 51
SE_CLK
R350 100 R290 100
SDRAM0 SDRAM1 SDRAM2 SDRAM3 CY2318ANZPVC-1SDRAM4 SDRAM5 SDRAM6 SDRAM7 24 SDATA SDRAM8 SDRAM9 25 SCLK SDRAM10 SDRAM11 SDRAM12 SDRAM13 SDRAM14 SDRAM15 38 OEN SDRAM16 SDRAM17 11 BUF_IN VSSIIC VSS VSS VSS VSS VSS VSS VSS VSS VSS VSS R291 100
VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD 43 39 34 30 27 22 19 15 6 10
R285 22 R292 22
R287 22 R293 22 R294 22 R297 22 R298 22 R301 22 33 22 R302 R303 33 SE_CLK_M4 SE_CLK_M5 R288 R289 22 22 R295 22
SE_CLK_T0 SE_CLK_T1 SE_CLK_T2 SE_CLK_T3 SE_CLK_T4 SE_CLK_T5 SE_CLK_T6 SE_CLK_T7 SE_CLK_M0 SE_CLK_M1 SE_CLK_M2 SE_CLK_M3
3
26
R296
3
22
R299
R300 22
U49 47 46 44 43 41 40 38 37 36 35 33 32 30 29 27 26 1 24 48 25 2 3 5 6 8 9 11 12 13 14 16 17 19 20 22 23
CELL_24_START CELL_START
2
1D1 1D2 1D3 1D4 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 2D5 2D6 2D7 2D8 1OEN 2OEN 1CLK 2CLK
1Q1 1Q2 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 2Q5 2Q6 2Q7 2Q8
R304 R305 33 BCELL_START_TP 33 R306 33 R307 33 BCELL_24_START0 BCELL_24_START1 BCELL_START0 BCELL_START1
2
SE_CLK_M5
R308 100
R309 100
PI74ALVCH16374A
TP[15-12] J7 1 3 5 7 9 11 13 15 17 19 VCC CLK2 CLK1 D15 D14 D13 D12 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 GND 2 4 6 8 10 12 14 16 18 20 TP15 TP13 BCELL_24_START_TP SE_CLK_M4 /PLD_CS /QSE0_CS /BRD
TP14 TP12 BCELL_START_TP /LRDY /QSE1_CS /BWR /DEV_SEL
1
3M CONN 2520-5002
1
LOGIC ANALYZER CONN
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
MISC CIRCUITS
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
26 of
28
A
B
C
D
E
VDD
VDD
C33 15uF
C34 15uF
C35 15uF
C36 15uF
C37 15uF
C38 15uF
C39 15uF
C40 15uF
C41 15uF
C42 15uF
C43 .1uF
C44 .1uF
C45 .1uF
C46 .1uF
C47 .1uF
C48 .1uF
C49 .1uF
C50 .1uF
C51 .1uF
C52 .1uF
C53 .1uF
C54 .1uF
C55 .1uF
C56 .1uF
C57 .1uF
4
4
C58 .1uF
C59 .1uF
C60 .1uF
C61 .1uF
C62 .1uF
C63 .1uF
C64 .1uF
C65 .1uF
C66 .1uF
C67 .1uF
C68 .1uF
C69 .1uF
C70 .1uF
C71 .1uF
C72 .1uF
C73 .1uF
C74 .1uF
C75 .1uF
C76 .1uF
C77 .1uF
C78 .1uF
C79 .1uF
C80 .1uF
C81 .1uF
C82 .1uF
C83 .1uF
C84 .1uF
C85 .1uF
C86 .1uF
C87 .1uF
C88 .1uF
C89 .1uF
C90 .1uF
C91 .1uF
C92 .1uF
C93 .1uF
C94 .1uF
C95 .1uF
C96 .1uF
C97 .1uF
C98 .1uF
C99 .1uF
C100 .1uF
C101 .1uF
C102 .1uF
C103 .1uF
C104 .1uF
C105 .1uF
C106 .1uF
C107 .1uF
C108 .1uF
C109 .1uF
C110 .1uF
C111 .1uF
C112 .1uF
C113 .1uF
C114 .1uF
C115 .1uF
C116 .1uF
C117 .1uF
C118 .1uF
C119 .1uF
C120 .1uF
C121 .1uF
C122 .1uF
C123 .1uF
C124 .1uF
C125 .1uF
C126 .1uF
C127 .1uF
C128 .1uF
C129 .1uF
C130 .1uF
C131 .1uF
C132 .1uF
C133 .1uF
C134 .1uF
C135 .1uF
C136 .1uF
C137 .1uF
C138 .1uF
C139 .1uF
C140 .1uF
C141 .1uF
C142 .1uF
C143 .1uF
C144 .1uF
C145 .1uF
C146 .1uF
C147 .1uF
3
3
C148 .1uF
C149 .1uF
C150 .1uF
C151 .1uF
C152 .1uF
C153 .1uF
C154 .1uF
C155 .1uF
C156 .1uF
C157 .1uF
C158 .1uF
C159 .1uF
C160 .1uF
C161 .1uF
C162 .1uF
C163 .1uF
C164 .1uF
C165 .1uF
C166 .1uF
C167 .1uF
C168 .1uF
C169 .1uF
C170 .1uF
C171 .1uF
C172 .1uF
C173 .1uF
C174 .1uF
C175 .1uF
C176 .1uF
C177 .1uF
C178 .1uF
C179 .1uF
C180 .1uF
C181 .1uF
C182 .1uF
C183 .1uF
C184 .1uF
C185 .1uF
C186 .1uF
C187 .1uF
C188 .1uF
C189 .1uF
C190 .1uF
C191 .1uF
C192 .1uF
C193 .1uF
C194 .1uF
C195 .1uF
C196 .1uF
C197 .1uF
C198 .1uF
C199 .1uF
C200 .1uF
C201 .1uF
C202 .1uF
C203 .1uF
C204 .1uF
C205 .1uF
C206 .1uF
C207 .1uF
C208 .1uF
2
C209 .1uF
C210 .1uF
C211 .1uF
C212 .1uF
C213 .1uF
C214 .1uF
C215 .1uF
C216 .1uF
C217 .1uF
C218 .1uF
C219 .1uF
C220 .1uF
C221 .1uF
C222 .1uF
C223 .1uF
C224 .1uF
C225 .1uF
C226 .1uF
C227 .1uF
C228 .1uF
C229 .1uF
C230 .1uF
C231 .1uF
C232 .1uF
C233 .1uF
C234 .1uF
C235 .1uF
C236 .1uF
C237 .1uF
2
C238 .1uF
C239 .1uF
C240 .1uF
C241 .1uF
C242 .1uF
C243 .1uF
C244 .1uF
C245 .1uF
C246 .1uF
C247 .1uF
C248 .1uF
C249 .1uF
C250 .1uF
C251 .1uF
C252 .1uF
C253 .1uF
C254 .1uF
C255 .1uF
C256 .1uF
C257 .1uF
C258 .1uF
C259 .1uF
C260 .1uF
C261 .1uF
C262 .1uF
C263 .1uF
C264 .1uF
C265 .1uF
C266 .1uF
C267 .1uF
C268 .1uF
C269 .1uF
C270 .1uF
C271 .1uF
C272 .1uF
C273 .1uF
C274 .1uF
C275 .1uF
C276 .1uF
C277 .1uF
C278 .1uF
C279 .1uF
C280 .1uF
C281 .1uF
C282 .1uF
C283 .1uF
C284 .1uF
C285 .1uF
C286 .1uF
C287 .1uF
C288 .1uF
C289 .1uF
C290 .1uF
C291 .1uF
C292 .1uF
C293 .1uF
C294 .1uF
C295 .1uF
C296 .1uF
C297 .1uF
C298 .1uF
1
C299 .1uF
C300 .1uF
C301 .1uF
C302 .1uF
C303 .1uF
C304 .1uF
C305 .1uF
C306 .1uF
C307 .1uF
C308 .1uF
C309 .1uF
C310 .1uF
C311 .1uF
C312 .1uF
C313 .01uF
C314 .01uF
C315 .01uF
C316 .01uF
C317 .01uF
C318 .01uF
C319 .01uF
C320 .01uF
C321 .01uF
C322 .01uF
C323 .01uF
C324 .01uF
1
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
C325 .1uF
C326 .1uF
C327 .1uF
C328 .1uF
C329 .1uF
C330 .1uF
C331 .1uF
C332 .1uF
C333 .1uF
C334 .1uF
C335 .1uF
C336 .1uF
C337 .1uF
C338 .1uF
C339 .1uF
POWER (VDD)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
27 of
28
A
B
C
D
E
VDD U50
VDD_A VCC
VDD_AIN2 L1 L2
VDD_A
DEVICE BYPASS CAP GUIDLINES Notes: VDD 8 .1uF CAP per 1032 1 .01uF CAP per 1032 7 .1uF CAP per 1034 1 .01uF CAP per 1034 6 .1uF cap per QSE 4 .01uF CAP per QSE 2 .1uF CAP per RAM 2 .01uF CAP per RAM 4 .1uF CAP per PLD 2 .1uF CAP per 16XXX 2 .1uF CAP per 3807 VDD_A 2 .1uF CAP per 1034 1 .01uF CAP per 1034 1 .1uF CAP per 1032 1 .01uF CAP per 1032
2 4 6 8
-
+ + + +
1 3 5 7
C340 .01uF
BLM31P500SPB C341 C342 .1uF .1uF
BLM31P500SPB C343 C344 .01uF .1uF
C345 15uF
C346 .01uF
SSF-LXH400ID
4
VDD_A
R310 100
R311 100
R312 100 VDD_AIN1 L3 BLM31P500SPB C356 C357 .01uF .1uF L4 BLM31P500SPB C358 C359 .01uF .1uF
4
C347 15uF
C348 15uF
C349 15uF
C350 15uF
C351 15uF
C352 15uF
C353 15uF
C354 15uF
Notes:
C360 .01uF C361 15uF
C355 .1uF
VCC C362 .1uF C363 .1uF C364 .1uF C365 .1uF C366 .1uF C367 .1uF C368 .1uF C369 .1uF C370 .1uF C371 .1uF C372 .1uF C373 .1uF C374 .1uF C375 .1uF C376 .1uF R313 3 1W R314 3 1W R315 3 1W C380 .1uF
3
U51 3 VIN GND VOUT 2
C377 15uF
LT1086CT-3.3
C378 15uF
C379 .1uF
1
C381 .1uF
C382 .1uF
C383 .1uF
C384 .1uF
C385 .1uF
C386 .1uF
C387 .1uF
C388 .1uF
C389 .1uF
C390 .1uF
C391 .1uF
C392 .1uF
C393 .1uF
C394 .1uF
3
VDD C395 .1uF C396 .1uF C397 .1uF C398 .1uF C399 .1uF C400 .1uF C401 .1uF C402 .1uF C403 .1uF C404 .1uF C405 .1uF C406 .1uF C407 .1uF C408 .1uF C409 .1uF
C410 .01uF
C411 .01uF
C412 .01uF
C413 .01uF
C414 .01uF
C415 .01uF
C416 .01uF
C417 .01uF
C418 .01uF
C419 .01uF
C420 .01uF
C421 .01uF
C422 .01uF
C423 .01uF
C424 .1uF
C425 .1uF
C426 .1uF
C427 .01uF
C428 .01uF
C429 .01uF
C430 .01uF
C431 .01uF
C432 .01uF
C433 .01uF
C434 .01uF
C435 .01uF
C436 .01uF
C437 .01uF
C438 .01uF
C439 .01uF
C440 .01uF
2
C441 .01uF
C442 .01uF
C443 .01uF
C444 .01uF
C445 .01uF
C446 .01uF
C447 .01uF
C448 .01uF
C449 .01uF
C450 .01uF
C451 .01uF
C452 .01uF
C453 .01uF
C454 .01uF
C455 .01uF
2
C456 .01uF
C457 .01uF
C458 .01uF
C459 .01uF
C460 .01uF
C461 .01uF
C462 .01uF
C463 .01uF
C464 .01uF
C465 .01uF
C466 .01uF
C467 .01uF
C468 .01uF
C469 .01uF
C470 .01uF
C471 .01uF
C472 .01uF
C473 .01uF
C474 .01uF VCC
C475 15uF C478 .01uF C479 .01uF
C476 15uF
C477 15uF
C480 .1uF
1
C481 .1uF
C482 .1uF
C483 .1uF
C484 .1uF
C485 .1uF
C486 .1uF
C487 .1uF
C488 .1uF
1
TP8 GND
TP9 GND
TP10 GND
TP11 GND
TP12 GND
TP13 GND
TP14 GND
TP15 GND
PMC-SIERRA, INC. 226 AIRPORT PARKWAY, SUITE 550 SAN JOSE, CA 95110 PHONE: (408) 441-7766 FAX: (408) 441-7925
Title
POWER (VDD_A)
Size Document Number Rev
C
Date:
A B C D
QSE COMPACT PCI CARD Monday, October 11, 1999
E
2
Sheet
28 of
28
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
10
BILL OF MATERIALS
Table 1
Item Quantity
- Bill of Materials
Reference Part Number Source Description
1 2
32 25
C1 - C32 C33 -C42, C345, C347 - C354, C361, C377, C378, C475 - C477 C43 - C409, C424 - C426 C531 C313 C324, C340,C343, C346,C356, C358,C360, C410 C423,C427 C474, C478,C479 JP1 J2 - J6 J7 L1 - L4 P1
LMK107BJ224KA ECS-T1AX156
TAIYO YUDEN PANASONIC
.22uF 10V 10% XR5 CER CAP 0603 15uF 10V 10% TANT. CAP B
3 4
381 82
EMK107BJ104KA ECU-V1H103KBV
TAIYO YUDEN PANASONIC
1uF 10V 10% X7R CER. CAP 0603 01uF 50V 10% CER. CAP 0603
5 6 7 8 9
1 5 1 4 1
PZC36DAAN 120673-1 2520-5002UB BLM31P500SPB 352068-1
SULLINS AMP 3M MURATA AMP
.025" SQUARE DOUBLE STRAIGHT HEADER 60 POS. 6-ROW ZPACK HS3 .100" X 100" RIGHT ANGLE 20PIN LOW PRO. HEADER 50 OHM IMPEDENCE FERRITE BEAD 1206 110 POS. TYPE B ZPACK
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
13
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
Item
Quantity
Reference
Part Number
Source
Description
10
91
11 12
48 65
R1 - R32, R62 - R69, R89 - R111, R114,R116, R118,R120, R122,R124, R126,R128, R130,R132, R134,R136, R138,R140, R142,R144, R148,R150, R153,R155, R157,R159, R161,R163, R165,R167, R169,R171, R173,R175, R177,R179, R181,R183, R253,R254 R33 - R61, R70 - R88 R101,R105, R106,R112, R113, R115,R117, R119,R121, R123,R125, R127,R129, R131,R133, R135,R137, R139,R141, R143,R145, R151,R152, R154,R156, R158,R160, R162,R164, R166,R168, R170,R172, R174,R176, R178,R180, R182,R184, R201,R218, R235,R252, R255 - R266,R268, R269,R290, R291, R308 - R312,R350
ERJ-3GSYJ10KV
PANASONIC
10K 1/16W 5% CHIP RES. 0603
ERJ-3GSYJ10V ERJ-3GSYJ100V
PANASONIC PANASONIC
10 1/16W 5% CHIP RES. 0603 100 1/16W 5% CHIP RES. 0603
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
14
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
Item
Quantity
Reference
Part Number
Source
Description
13
83
14
24
15 16 17 18 19 20 21 22 23 24 25 26
1 3 1 7 8 1 1 2 2 16 16 5
R102,R103, R107,R108, R110,R146, R147,R149, R185 R200,R202, R217,R219, R220 R234,R236, R237 R251,R267, R270,R271, R272,R283, R302,R303, R304,R305, R306,R307 R273 R282,R285, R287,R288, R289,R292, - R301 R284 R313,R314, R315 S1 TP1 - TP7 TP8 - TP15 U1 U2 U3, U21 U4,U22 U5 - U12, U23 - U30 U13 - U20, U31- U38 U39 - U49
ERJ-3GSYJ33V
PANASONIC
33 1/16W 5% CHIP RES. 0603
ERJ-3GSYJ22V
PANASONIC
22 1/16W 5% CHIP RES. 0603
ERJ-3GSYJ51V P3W-1TR-ND EP12SD1ABE PZC36SAAN PZC36SAAN PCI 9050-1 NM93CS46EN PM73488 MT58LC128K18C 5LG-10 HDMP-1034 HDMP-1032 PI74ALVCH16374 A
PANASONIC DIGI-KEY C&K SULLINS SULLINS PLX TECHNOLOGY FAIRCHILD PMC-Sierra, Inc MICRON HP HP PERICOM
51 1/16W 5% CHIP RES. 0603 1W 5% METAL OXIDE FILM RES SPDT PUSHBUTTON SWITCH .025" SQUARE SINGLE STRAIGHT HEADER .025" SQUARE SINGLE STRAIGHT HEADER PCI BUS TARGET INTERFACE CHIP 1024-BIT SERIAL EEPROM 8-PIN DIP QUAD-SWITCH ELEMENT 596-PIN BGA 28K X 18 SYNCBURST SRAM 100-PIN TQFP 1.44GBD RECEIVER 64-PIN PQFP 1.44GBD TRANSMITTER 64-PIN PQFP 16-BIT EDGE TRIGGERED D-TYPE FLIP-FLOP 48-PIN TSSOP
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
15
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
Item
Quantity
Reference
Part Number
Source
Description
27 28 29 30 31 32
3 1 1 1 1 1
U43,U46, U50 U44 U45 U47 U48 U51
SSF-LXH400ID EPM7192SQC160 -10 PI74LPT16244AA SSFLX400GD_5V CY2318ANZPVC1 LT1086CT-3.3 ALTERA PERICOM PROGRAMMABLE LOGIC DEVICE 160PIN PQFP 16-BIT BUFFER/LINE DRIVER 48-PIN TSSOP 18 OUTPUT, 3.3V SDRAM BUFFER 48 PIN SSOP 1.5A LOW DROPOUT POS. REG. ADJTABLE TO-220
CYPRESS LINEAR TECHNOLOGY
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
16
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
11
PLD CONTENTS
The following VHDL code is implemented in the LED_DSP PLD device. This device is an Altera 7000 family device.
-- *********************************************************************** -- The following VHDL code and related files are proprietary information of PMC _Sierra -- *********************************************************************** -- This PLD incorporates the following functions --- LED DISPLAY -It will display 8 status inputs at a time on the status LEDs. -The GROUP LEDS will display which of the 8 groups are being displayed -GROUP 0 -QSE 0 XMTR LOCKED LED 7-0 -GROUP 1 -QSE 0 RCVR ERROR LED 7-0 -GROUP 2 -QSE 0 RCVR READY LED 7-0 -GROUP 3 -QSE 1 XMTR LOCKED LED 7-0 -GROUP 4 -QSE 1 RCVR ERROR LED 7-0 -GROUP 5 -QSE 1 RCVR READY LED 7-0 -GROUP 6 -ANY ERROR IN ANY OF THE 6 groups for that bit -GROUP 7 -ANY ERROR IN ANY OF THE 6 groups for that bit -- CARD Control Register at addr 00 -7 Not used -6 Not used -5 Not used -4 Not used -3 Not used -2-0 Group Select for readback -- Mask Register at addr 10 -7 Not used -6 Not used -5 Not used -4 Not used -3 QSE1 ACK MASK -2 QSE0 ACK MASK -1 QSE1 INT MASK -0 QSE0 INT MASK -- LED Status Register at addr 20 -7-0 Displays group selected by register 10 -- The pushbutton switch will run a 3 bit counter that will be used
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
17
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
to select one of the groups for LED display -- Not Implemented yet -Latches on the LEDs
---------------------------------------------------------------LIBRARY std; use std.all; LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.CONV_INTEGER; USE ieee.std_logic_arith.CONV_STD_LOGIC_VECTOR; entity led_dsp is port( -- Timing signals clk_in: in std_logic; -- For uP access reset_n: in std_logic; cs_n: in std_logic; wr_n: in std_logic; rd_n: in std_logic; data: inout std_logic_vector(7 downto 0); addr: in std_logic_vector(7 downto 4); ads_n: in std_logic; -- not used, since addr is not multiplexed switch_nc: in std_logic; switch_no: in std_logic; q0_t_locked: in std_logic_vector(7 downto 0); q0_r_error: in std_logic_vector(7 downto 0); q0_r_ready: in std_logic_vector(7 downto 0); q1_t_locked: in std_logic_vector(7 downto 0); q1_r_error: in std_logic_vector(7 downto 0); q1_r_ready: in std_logic_vector(7 downto 0); led_data_n: out std_logic_vector(7 downto 0); led_grp_n: out std_logic_vector(2 downto 0); led_grp3_n: out std_logic; bd_reset: out std_logic; nodata_out_n: out std_logic; nodata_in_n: out std_logic; pass_enb: out std_logic; qse0_int_n: qse1_int_n: in std_logic; in std_logic;
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
18
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
qse0_ack_n: qse1_ack_n:
in std_logic; in std_logic;
qse_int_n: ack_n:
out std_logic; out std_logic
); end led_dsp; architecture rtl of led_dsp is signal l_mux_out: signal r_mux_out: signal led_sel: signal read_sel: signal data_reg: signal mask_reg: signal cs: signal wr_clk: signal drive_bus: signal cntr_clk: signal load: signal advance_latq: signal advance_latq_n: signal err: signal qse0_int_mask: signal qse1_int_mask: signal qse0_ack_mask: signal qse1_ack_mask: signal qse_int: signal qse0_ack: signal qse1_ack: signal ack: begin
std_logic_vector(7 downto 0); std_logic_vector(7 downto 0); std_logic_vector(2 downto 0); std_logic_vector(2 downto 0); std_logic_vector(7 downto 0); std_logic_vector(3 downto 0); std_logic; std_logic; std_logic; std_logic; std_logic; std_logic; std_logic; std_logic_vector(7 downto 0); std_logic; std_logic; std_logic; std_logic; std_logic; std_logic; std_logic; std_logic;
-- concurrent assignments led_data_n <= not(l_mux_out); led_grp_n <= not(led_sel); led_grp3_n <= '1'; -- not used led -- for now cntr_clk <= advance_latq; load <= cs; bd_reset <= data_reg(7); nodata_out_n <= data_reg(6); nodata_in_n <= data_reg(5); pass_enb <= data_reg(4);
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
19
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
read_sel <= data_reg(2 downto 0); qse0_int_mask <= mask_reg(0); qse1_int_mask <= mask_reg(1); qse0_ack_mask <= mask_reg(2); qse1_ack_mask <= mask_reg(3); -- interrupt equation qse_int <= (not(qse0_int_n) and not(qse0_int_mask)) or (not(qse1_int_n) and not(qse1_int_mask)); qse_int_n <= not(qse_int); -- ack equation qse0_ack <= not(qse0_ack_n); qse1_ack <= not(qse1_ack_n); ack <= (qse0_ack and not qse0_ack_mask) or (qse1_ack and not qse1_ack_mask); cs <= not cs_n; wr_clk <= '1' when (cs = '1' and wr_n = '0') else '0'; drive_bus <= '1' when (cs = '1' and rd_n = '0') else '0'; -- hook up the cross coupled nand gates for the switch debouncer advance_latq <= switch_nc nand advance_latq_n; advance_latq_n <= switch_no nand advance_latq; -- For write cycle... -- 1) Chip selected -- 2) Write cycle -- process(wr_clk, reset_n) process(wr_clk) begin if reset_n = '0' then data_reg(7 downto 0) <= "00000000"; mask_reg(3 downto 0) <= "0000"; elsif wr_clk'EVENT and wr_clk = '1' then if wr_clk'EVENT and wr_clk = '1' then case addr(5 downto 4) is when "00" => data_reg(7 downto 0) <= data(7 downto 0); when "01" => mask_reg(3 downto 0) <= data(3 downto 0); when others =>null; end case; end if;
-----
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
20
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
end process; -Implement the LED MUX
process(q0_t_locked, q0_r_error, q0_r_ready, q1_t_locked, q1_r_error, q1_r_ready, read_sel, err, led_sel) begin -- implement led driver mux case led_sel(2 downto 0) is when "000" => l_mux_out <= q0_t_locked; when "001" => l_mux_out <= q0_r_error; when "010" => l_mux_out <= q0_r_ready; when "011" => l_mux_out <= q1_t_locked; when "100" => l_mux_out <= q1_r_error; when "101" => l_mux_out <= q1_r_ready; when others => l_mux_out <= err; end case;
-- implement read back mux case read_sel(2 downto 0) is when "000" => r_mux_out <= q0_t_locked; when "001" => r_mux_out <= q0_r_error; when "010" => r_mux_out <= q0_r_ready; when "011" => r_mux_out <= q1_t_locked; when "100" => r_mux_out <= q1_r_error; when "101" => r_mux_out <= q1_r_ready; when others => r_mux_out <= err; end case; end process ;
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
21
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
-- implement the 3 bit counter which is driven by the pushbutton -- by the momentary switch. It will rollover after 7. -process(cntr_clk, reset_n) process(cntr_clk) begin if reset_n = '0' then led_sel <= "000"; elsif cntr_clk'EVENT and cntr_clk = '1' then if cntr_clk'EVENT and cntr_clk = '1' then led_sel(2 downto 0) <= CONV_STD_LOGIC_VECTOR(conv_integer(led_sel) + 1 , 3); end if; end process ;
----
-- this process ors all of the error conditions together so they can be monitored at one time process(q0_r_error, q1_r_error, q0_t_locked, q1_t_locked, q0_r_ready, q1_r_ready) begin for n in 0 to 7 loop err(n) <= q0_r_error(n) or q1_r_error(n) or (not q0_t_locked(n)) or (not q1_t_locked(n)) or (not q0_r_ready(n)) or (not q1_r_ready(n)); end loop; end process ; -- drive ad bus on read cycles process(drive_bus, r_mux_out, data_reg, addr, mask_reg) begin if drive_bus = '1' and addr(5 downto 4) = "00" then data(7 downto 0) <= data_reg; elsif drive_bus = '1' and addr(5 downto 4) = "01" then data(7 downto 0) <= "0000" & mask_reg; elsif drive_bus = '1' and addr(5 downto 4) = "10" then data(7 downto 0) <= r_mux_out; else data(7 downto 0) <= "ZZZZZZZZ"; end if; end process; --============================================================ -- cpu clock process -- this process synchronizes the ack to the cpci_clk process( clk_in ) begin
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
22
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
if clk_in'event and clk_in = '1' then ack_n <= not(ack); end if; end process ; end rtl;
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
23
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
NOTES
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE
24
RELEASED 10 GBIT/S ATM SWITCH FABRIC BUILDING BLOCK REFERENCE DESIGN PMC-981288 ISSUE 3
PM73488 QSE
QSE REFERENCE DESIGN
CONTACTING PMC-SIERRA, INC.
PMC-Sierra, Inc. 105-8555 Baxter Place Burnaby, BC Canada V5A 4V7 Tel: Fax: (604) 415-6000 (604) 415-6200 document@pmc-sierra.com info@pmc-sierra.com apps@pmc-sierra.com (604) 415-4533 http://www.pmc-sierra.com
Document Information: Corporate Information: Application Information: Web Site:
None of the information contained in this document constitutes an express or implied warranty by PMC-Sierra, Inc. as to the sufficiency, fitness or suitability for a particular purpose of any such information or the fitness, or suitability for a particular purpose, merchantability, performance, compatibility with other parts or systems, of any of the products of PMC-Sierra, Inc., or any portion thereof, referred to in this document. PMC-Sierra, Inc. expressly disclaims all representations and warranties of any kind regarding the contents or use of the information, including, but not limited to, express and implied warranties of accuracy, completeness, merchantability, fitness for a particular use, or non-infringement. In no event will PMC-Sierra, Inc. be liable for any direct, indirect, special, incidental or consequential damages, including, but not limited to, lost profits, lost business or lost data resulting from any use of or reliance upon the information, whether or not PMC-Sierra, Inc. has been advised of the possibility of such damage. (c) 1999 PMC-Sierra, Inc. PMC-981288(R3) Issue date: November 1999
PROPRIETARY AND CONFIDENTIAL TO PMC-SIERRA, INC., AND FOR ITS CUSTOMERS' INTERNAL USE


▲Up To Search▲   

 
Price & Availability of 1981288

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X