Part Number Hot Search : 
400SJ 18F87 Z5222 DTD114 B0412BC1 N6038G WD205L TB7102F
Product Description
Full Text Search
 

To Download CT2556-FP Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  eroflex circuit t echnology ? data bus modules for the future ? scdct2553 rev b 8/6/99 features n second source compatible to the bus-61553 n complete integrated mux including: ? low power dual transceiver ? bc/rtu/mt protocol ? 8k x 16 shared ram ? interrupt logic n compatible with mil-std- 1750 and other standard cpus n dip or flatpack hybrid n minimizes cpu overhead n provides memory mapped 1553 interface n on-line & off-line self-test n pcs development tools available n seafac tested n mil-prf-38534 compliant circuits available n desc smd #5962?88692 pending n packaging ? hermetic metal ? 78 pin, 2.1" x 1.87" x .25" plug-in type package ? 82 lead, 2.2" x 1.61" x .18" flat package general description aeroflex?s ct2553 advanced integrated mux (aim) hybrid is a complete mil-std-1553 bus controller (bc), remote terminal unit (rtu), and bus monitor (mt) device. packaged in a single 78 pin dip package, the ct2553 contains dual low-power transceivers, complete bc/rtu/mt protocol logic, a mil-std-1553-to-host interface unit and an 8k x 16 ram. using an industry standard dual transceiver and standard status and control signals, the ct2553 simplifies system integration at both the mil-std-1553 and host processor interface levels. all 1553 operations are controlled through the cpu access to the shared 8k x 16 ram. to ensure maximum design flexibility, memory control lines are provided for attaching external ram to the ct2553 address and data buses and for disabling internal memory; the total combined memory space can be expanded to 64k x16. all 1553 transfers are entirely memory-mapped; thus the cpu interface requires minimal hardware and/or software support. the ct2553 operates over the full military -55c to +125c temperature range. available screened to mil-std883, the ct2553 is ideal for demanding military and industrial microprocessor to 1553 interface applications. see "ordering information" (last sheet) for ct2554, ct2555 & ct2556. ct2553 / 2554 / 2555 / 2556 for mil-std-1553 f i e i d c e r t a e r o f l e x l a b s i n c . iso 9001 circuit technology www.aeroflex.com advanced integrated mux (aim) hybrid
aeroflex circuit technology 2 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 f i g u r e 1 ? c t 2 5 5 3 b l o c k d i a g r a m r t a d r 2 r t a d r 0 r t a d r 4 r t a d r 3 r t a d r 1 r t a d r p t r a n s c e i v e r b 1 2 3 4 8 d a t a b u s a 1 2 3 4 8 t r a n s f o r m e r b d a t a b u s b t r a n s c e i v e r a r t a d d r r t p a r e r r i n t e x t l d e x t e n m e m / r e g r d / w r r e a d y d s t r b d s e l e c t m s t r c l r c l o c k i n q 1 5 5 3 - 2 q 1 5 5 3 - 2 t r a n s f o r m e r a c h a n n e l a d e c o d e r e n c o d e r / m e m o r y t i m i n g c o n t e n t i o n r e s o l v e r c h a n n e l b d e c o d e r e n c o d e r / r x r x t x t x i n h r x r x t x t x i n h t i m e o u t 7 6 8 s 8 k x 1 6 s h a r e d r a m r a m p a r i t y c h e c k e r c p u t i m i n g i n t e r r u p t g e n e r a t o r p r o t o c o l c o n t r o l l e r a 1 5 - a 0 0 d 1 5 - d 0 0 n o t e : t h e w a t c h - d o g t i m e o u t ( 7 6 8 s t y p . ) i s b u i l t i n .
aeroflex circuit technology 3 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 general the ct2553 is a complete mil-std-1553 bus interface unit containing dual low-power transceivers; bus controller (bc), remote terminal (rtu), and bus monitor (mt) protocol logic; 8k x 16-bit pseudo dual port ram; and memory management arbitration control circuitry. the host processor interface consists of standard control and interrupt signals, memory expansion capability and non-multiplexed address and data buses. control of the ct2553 is accomplished entirely through the use of three internal registers and the shared ram. transfers to and from the ct2553 are executed on a word-by-word basis ensuring minimal wait time if contention occurs. the specific mods of operation (1553 bc/rtu/mt) is software programmable. memory is configured into unique control and data block areas based on the 1553 mode of operation. external registers are also supported by the ct2553 for manipulation of user data. in addition, the ct2553 provides dynamic, online and software initiated self-test capabilities. values at nominal power supply voltages unless otherwise specified parameter value units receiver differential input voltage 40 max vp-p differential input impedance 7 min k w cmrr 40 min db transmitter (direct coupled) differential output voltage 6.0 min, 9.0 max vp-p output rise and fall times 100 min, 300 max nsec output offset voltage 90 max mv logic* v ih 2.2 min v v il 0.8 max v clock 16 mhz power supplies +5v (logic) +55% v -15va (channel a transceiver) -1510% v -15vb (channel b transceiver) -1510% v +5va (channel a) +55% v +5vb (channel b) +55% v current drain* (total package) (typ)/max +5v (idle) (85)/170 ma -15v (idle) (45)/80 ma +5v (25% duty cycle) (85)/170 ma -15v (25% duty cycle) (80)/130 ma temperature range operating (case) - 55 to +125 c storage - 65 to +150 c physical characteristics size 78 pin ddip 2.1 x 1.87 x 0.25 (53 x 47.5 x 6.4) in (mm) 82 pin flatpack 2.19 x 1.6 x 0.175 (55.6 x 40.6 x 4.34) in (mm) * see table 7 for pin loading characteristics. table 1 ? ct2553 specifications
aeroflex circuit technology 4 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 interfacing the ct2553 is compatible with most common microprocessors including, but not limited to, the motorola 680 x 0, the intel 808x, zilog z800x and mil-std-1750 processors. interfacing the ct2553 to the mil-std-1553 data bus requires two q1553-2 pulse transformers and an external 16 mhz clock (see figure 2). tri-state buffers are used to isolate the cpu's data and address lines. external ram can be used instead of or in conjunction with the ct2553's internal 8k x 16 bits. the external ram used by the ct2553 can be any standard static memory with an access time of < 55ns. the external ram can be expanded to 64k x 16. two control signals, memena-in (pin 69) and memenma-out (pin 31) are provided in addition to the standard memory i/o signals for internal/external memory access control (see figures 3-5. memen-out and memen-in should be tied together for internal memory only configuration. memory cs signals can be generated for configurations using external memory. memory management memory can be configured to support two areas (a and b), each with an independent sequential stack and pointers for manipulating 1553 message and control data. the cpu can access the shared ram while 1553 message transfers are taking place. arbitration of the ram is automatically implemented in a manner transparent to the subsystem (see figures 28-31). variable length data blocks are also stored in the shared ram and can be addressed by setting pointers residing in area a, area b or both. for bc/rtu operation, each area contains a descriptor stack and stack pointer (see figures 6 and 7). bc operation further maintains a message count for each area (number of 1553 messages per frame). rtu operation maintains a data block address look-up table for each area. mt operation utilizes a single stack pointer to indicate the starting address for storage of received words and associated identification words. current area assignment/swapping . current area status (currently available to the 1553 terminal) is software programmable by the host; the unassigned area automatically assumes non-current area status. both areas are always addressable by the host. swapping of the current area can be done following message transfers for user operations such as exception handling or multiple buffering of 1553 data. the host selects the current area by writing to the ct2553?s configuration register with bit 13 set to the appropriate logic level (0 for area a or 1 for area b). internal circuitry ensures that the swapping of current area status does not occur during an ongoing message transfer (see configuration register). descriptor stack (bc/rtu) . the descriptor stack (ds) is divided into 64 entries. each stack entry contains four words which refer to one 1553 message. the block status word (bsw) indicates the physical bus on which the message was received (rtu mode), reports whether or not an error was detected during message transfer and indicates message completion (see figure 8). the user-supplied time tag word is loaded at the start of a message transfer and is updated at the end of the transfer (see time tagging).
aeroflex circuit technology 5 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 tx/rx-a 4 5 6 7 8 3 2 1 + ? tx/rx-a bus-25679 tx/rx-b 4 5 6 7 8 3 2 1 + ? tx/rx-b bus-25679 rtaddress rtadp 1553 interface +5v -15v +5v memoe host processor int strbd readyd (54ls04) mem/ reg mstrclr por select (see note 2) a0 - a12 address 13 memena-in memena-out (see note 1) (54ls244) ( oe ) address decoder ( oe ) (dir) (54ls245) data d0 - d15 ioen 16 illcmd sa/mc-0 sa/mc-1 sa/mc-2 sa/mc-3 sa/mc-4 t/r bcstrcv lmc rd/ wr rd/ wr 16mhz clock 20 59 69 31 73 71 74 33 34 75 72 12 36 30 32 17 xx 57 53 54 52 15 13 ct2553 illegalization prom (optional) 40 78 51 3 2 cpu rom ram i/o a0 - a12 figure 2 ? ct2553 example interconnection
aeroflex circuit technology 6 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 ct2553 69 31 memena out memena in address bus cpu memena in memena out cs cpu 16 address bus 10k +5v 64k x 16 static ram 31 69 ct2553 memena out address bus cpu 13 memena in 56 x 16k static ram max 8k x 16 8k x 16 8k x 16 8k x 16 8k x 16 8k x 16 8k x 16 address decoder e a b c 1 2 3 4 5 6 7 0 a13 a14 a15 31 69 ct2553 figure 5 ? configuration using both internal and external memory figure 3 ? internal memory only figure 4 ? external memory only address decoder e
aeroflex circuit technology 7 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 figure 6 ? use of descriptor stack ? bc mode * note: stack pointers and message counters are switched via the configuration register under external cpu control. ** note: descriptor stacks and data blocks have 256 word boundries which should be observed. figure 7 ? use of descriptor stack ? rtu mode descriptor ** stacks stack pointers configuration register current area b/ a data ** blocks data block data block 0 13 15 block status word reserved time tag word message block addr descriptor ** stacks stack pointers configuration register current area b/ a data ** blocks data block data block 0 13 15 look-up table (data block addr) look-up table addr block status word reserved time tag word received command word * * message counter * * * note: stack pointers and look-up table are switched via the configuration register under external cpu control. ** note: descriptor stacks and data blocks have 256 word boundries which should be observed.
aeroflex circuit technology 8 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 the contents of the fourth word of the stack entry depends upon the 1553 operating mode selected. in bc mode, it contains the address of the associated 1553 message (data block). in rtu mode, it contains the complete (received) 1553 command word. stack pointer. a stack pointer (sp) is maintained at a specified location in shared ram for each descriptor stack (sp-a: 0100h; sp-b: 0104h). each stack pointer must be initialized by the cpu to point to the descriptor stack entry to be used for the first mil-std-1553 transmission. the current area sp is automatically incremented by four following each message transfer thereby always pointing to the next block status word. note: the stack pointer is maintained internally using an 8-bit register for the high byte and an 8-bit counter for the low byte. the high byte remains constant (user value) while the low byte will wrap around from ff(h) to 00(h). for example: a current stack pointer value of 00 ff(h) will increment to 00 00(h) and not 01 00 (h). look-up table (rtu) . a data block address look-up table is used to indicate the data blocks to be used for individual commands. look-up is based upon the t/ r (transmit/receive) and subaddress bits of the received 1553 command word. see rtu operation for detailed operation; two tables are provided for double buffering in the rtu mode. multiple buffering (bc/rtu) . unused areas of shared ram can be used to store additional stacks, tables, data blocks and/or user (non 1553-related) data. in this way, multiple data blocks (rtu) or messages (bc) can be stored for later use: simply update respective pointers and initiate the appropriate start conditions. (bc mode requires sp, message block address and message count updating while in rtu mode, the sp and look-up table entry must be updated). ct2553 registers the ct2553 is controlled through the use of three internal registers: interrupt mask register, configuration register and a start/reset register. in addition, the ct2553 can access up to four external, user supplied registers (see table 2). possible external register applications include: cpu time tag storage and rtu address assignment (see figures 9 and 10). 15 8 7 0 1 1 1 1 1 1 1 1 eom loop test fail som response time out (bc only) chb/cha (rtu only) format error status set (bc only) error flag note: in bc operation, the ct2553 always writes the bsw to ram with bit-13. chb/ cha toggles as per the message control word setting. bit name definition eom set at the completion of a message transfer regardless of whether any errors were detected. som set at the beginning of a message transfer and reset upon completion of the transfer. chb/ cha set in rtu mode to indicate whether the message was received on 1553 bus a or bus b. toggles to indicate channel, in bc mode. error flag indicates that an error was detected within the message transfer. the specific error condition(s) are identified in bits 8-11. status set set in bc mode to indicate that a status flag bit was set within the received rtu status word or that the rtu address did not match the associated command. set in bc mode when the message error bit is set within the received rtu status word. format error also set in rtu mode (rt-rt transfer; ct2553 is acting as the receiving rt) when the transmitting rtu status word contains an incorrect address. also, set in bc or rtu mode if the message violates mil-std-1553 (parity, manchester, sync bit count, non-contiguous data or word count errors). response timeout set in bc mode if the addressed rtu did not respond within 14s. also set when acting as a receiving rt (rt-rt transfer) if the transmitting rt does not respond in the specified 1553 response time. loop test fail set when the ct2553 does not pass the loop test. see self test paragraph. figure 8 ? descriptor stack - block status word
aeroflex circuit technology 9 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 e b a e b a decoder address exten extld write read a00 a00 a01 a01 { ? ? { ? ? extld cpu data bus d15 - d00 ioenbl ct2553 rt addr rtadp register 1 5 16 6 oe figure 9 ? use of external registers figure 10 ? example configuration using external registers note: a02 of the ct2553 must be set to logic 1 to operate with external registers.
aeroflex circuit technology 10 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 cpu to register operations. the cpu selects a register by asserting mem/ reg low and a2 to a logic 0 (for internal registers) or logic 1 (for external registers) with a0 and a1 indicating the appropriate register address (see figures 28-32). the signals exten and extld are used to access the external registers. configuration register. the configuration register is a 16-bit read/write register used to define the 1553 operating mode (bc, rtu, or mt); define selectable 1553 status word bits (rtu only); select stop-on-error option; and support the double buffering scheme (see figure 11). 15 8 7 0 1 1 1 1 1 1 1 1 rtu/ bc subsystem flag mt service request current area b/a busy stop on error db accept bit name definition subystem flag sets/resets 1553 status word flag. service request sets/resets 1553 status word flag. busy sets/resets 1553 status word flag. db accept sets/resets 1553 status word flag. stop on error bc will halt message transfer after completing current eom cycle. current area b/ a selects current area pointers. rtu/ bc rtu or bc-mt operation select. note: a logic 0 causes the corresponding bit within the rtu?s status word to be set to a logic 1. figure 11 ? configuration register bit15 bit 14 operation 0 0 bc 0 1 mt 1 0 rtu 1 1 illegal interrupt mask register (bc/rtu). this register is a 16-bit read/write register used to enable/mask interrupt conditions. if an interrupt condition occurs and the corresponding interrupt register bit has been enabled (set to logic 1) pin 72, int will be pulsed low during the respective end of message (eom) cycle (see figure 12). not used bit locations can optionally be used for storing user flags. start/reset register. this write-only register is used to reset the ct2553 and to start the bc and mt operations, as illustrated in figure13. 15 9 8 7 4 3 2 1 0 1 1 1 1 1 1 1 not used bc eom format error/status set not used eom interrupt definition eom end of message. set by ct2553 in bc or rtu mode following each 1553 transfer (regardless of validity). format error/ status set set if one of the following occurs: . loop test failure: received word does not match last word transmitted. message error: received message contained a violation of any of the 1553 message validation criteria (parity, sync, manchester encoding, bit/word count, etc.) time-out: expected transmission was not received during the allotted time. status set: received status word contained status bit(s) set or address error. bc eom bus controller end of message. set by the ct2553 following transmission of all messages within the current message block (current area message count = ffff). figure 12 ? interrupt mask register 15 9 8 7 4 3 2 1 0 not used controller start reset bit name definition controller start issued by the cpu to start message block transmission (bc operation) or to begin reception of 1553 messages (mt operation). reset issued by the cpu to place the ct2553 in the power-on condition; (1) aborts 1553 transfers currently in progress, and (2) resets configuration and interrupt mask register bits (logic 0). figure 13 ? start/reset register bit 1 bit 0 start 1 0 reset 0 1
aeroflex circuit technology 11 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 contention handling the ct2553 arbitrates shared ram (and control register) accesses between the host cpu and the internal 1553 protocol logic. if the host attempts to access the ram while an internal 1553 memory cycle is in progress, the ct1553 will delay the cpu's memory cycle by inserting wait states via the readyd control signal until the cycle has been completed. the maximum delay is 1.8s. if the internal 1553 protocol logic attempts to access the ram while the host cpu has control of the memory, the internal 1553 logic will wait until the host cpu cycle has been completed. to ensure the integrity of 1553 data transfers, the host cpu must complete its memory cycle within 1.5s (see figures 28-32). self test the ct2553 has two self-test modes: the automatic, continuous on-line test and the software-initiated off-line test. in both tests the loop test fail bit within the block status word will be set to a logic 1 if a failure is detected. on-line test. the on-line test occurs in bc and rtu modes during transmission of each message onto the 1553 bus. this test wraps around the last word transmitted, exercising the 1553 protocol logic through the 1553 transceivers. while operating as a bc, the last word transmitted is received, decoded, and written back into memory location immediately following the last word within the message block. the host cpu can read and compare this loop back word with the last word of the message data block; these two words should be identical. this insures data integrity between the cpu and the ct2553. while in the rtu mode, the internal 1553 status word will be updated to reflect the result of the self test. the status word's terminal flag bit will be set to a logic 1 if a fault was indicated by the wrap-around, self-test. off-line test. the software-initiated off-line test can be executed only when the ct2553 is configured as a bc. set the wrap-around test bit within the bc control word to a logic 1 and initiate any standard message transfer. this inhibits the 1553 transceivers and initiates the standard wrap-around test (i.e., internal 1553 encoder output is fed back into the decoder - the word is then written into memory). see bc operation and figure 14, bc control word for more details. reset the ct2553 can be reset by pulsing the mstrclr (pin 71) low or by writing to the start/reset register. after a reset condition has occurred, the configuration, interrupt, and (internal) block status word register outputs are forced to a logic 0. table 2 ? ct2553 register address definition address bits definition a2 a1 a0 0 0 0 r/w interrupt mask register 0 0 1 r/w configuration register 0 1 0 ? not used 0 1 1 w start/reset register 1 0 0 r/w * external register 1 0 1 r/w * external register 1 1 0 r/w * external register 1 1 1 r/w * external register * note: r/w (read/write) capability is dependent on the user's decoding implementation (see figure 9). 15 8 7 6 5 4 3 2 1 0 not used bus channel a/ b off-line self test mask broadcast not used mode code broadcast rt-rt bit name definition bus channel a/ b determines whether message will be transmitted on 1553 bus a or bus b. logic 1 = a, logic 0 = b. initiate off-line self test logic 1 performs internal off-line transmit/receive test. the last word of the message is looped back through the decoder and placed in ram. see self test paragraph. mask broadcast (1) when logic 1, prevents broadcast rcvd bit of the 1553 status word response from signalling a status error as a result of a broadcast command. (a format error will be generated if the broadcast bit is not set on the rtu?s status word.) mode code when logic 1, the message is treated as a mode code. (the command word - word count field indicates mode code type.) broadcast when logic 1, indicates that the message is a broadcast command. (no status word is expected.) rt-rt when logic 1, the message is treated as an rt-rt transfer. (the next two words are command words.) both status word responses are validated. note: 1. mask broadcast xor broadcast bit in status word = status set error. 2. when the bc expects the broadcast bit set in the status word, a logic 1 will mask the status interrupt error flag. figure 14 ? bc control word
aeroflex circuit technology 12 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 control word control word control word control word control word control word receive command transmit command receive command mode command transmit command control word mode command mode command broadcast command data word 1 status word status word status word data word 1 data word 2 data word last data word 1 data word 2 data word last status receive data word 1 received data word 2 received data word data word received status received status word 1 from xmtr status word 2 receiver from terminal to terminal last data word received data word looped back remote remote data block command back broadcast looped command back mode looped data word back looped last back data word looped transmit data block receive data block with data data block mode code transmit format with data data block mode code receive format mode code without data broadcast command with data broadcast command (no data) broadcast command (no data) figure 16 ? bc message formats command back mode looped command back transmit looped command back transmit looped control word data word 2 data word last time tagging (optional) the ct2553 will automatically access an external, 3-state device (i.e., counter) at the start and end of each message in bc or rtu modes. the ct2553 output, tagen (pin 76), enables the device's output onto the common, 16-bit data highway while executing a memory-write cycle. the device's value is written into the second location of the descriptor stack entry. if a counter is used its clock, enable, and reset control lines are connected per system requirement (see figure 15). if no external device is attached to the data bus, an expected value of ffff (h) will be written into the time tag location within the descriptor stack. note that the 8-bit time tag value generated in the 1553 mt mode of operation is implemented using an 8-bit counter internal to the ct2553 (see mt operation). cpu data bus d15 - d00 ioen ct2553 oe figure 15 ? bc/rt tagging (optional) three-state counter data bus d15 - d00 oe tagen
aeroflex circuit technology 13 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 bc operation initialization of the ct2553 via a reset or by setting the appropriate configuration register bits will result in placing the ct2553 in the bc operating mode. bc memory configuration . the user configures the memory by: (1) writing the start address of the descriptor stack into the current area stack point location; (2) loading the fourth word of each descriptor stack entry (dse) with the start location of each message block; and (3) loading the message counter with the total number of messages to be transmitted. note that the message count must be written in 1's compliment. for example, to transmit one message, load oofe(h) (see table 3, bc memory map). if both map areas a and b are utilized, this procedure must be performed for each area. note that the stack pointer and message counter locations are fixed; message block locations are user-defined. each message block must be proceeded by a bc control word (see figure 14). this word informs the ct2553 as to the format of the message transfer. bit 1 of the control word defines whether the following message to rt 31 is to be issued in broadcast mode or whether rt 31 is a unique terminal. memory locations must be reserved at the end of each message for: (1) a loop back word; (2) rtu status word(s); and (3) received data words. see figure 16, bc message formats. message blocks may be loaded anywhere in the non-fixed area of the shared ram. however, each data block may not cross a 256 word boundary (i.e., bit 8 of the starting address of the message block must match bit 8 of the address of the last word of the message block). additional features . the configuration register ? stop on error bit can be set. this causes the ct2553 to halt operation at the end of the current message transfer if an error is detected. in addition, setting the interrupt mask register bits will result in a low pulse on the interrupt ( int ) pin with each occurrence of the respective error, end of message or end of message frame condition (see configuration register and interrupt register sections). bc transfer-start sequence after setting the controller start bit in the start/reset register, the ct2553 takes the following actions: 1. reads the current area stack pointer for the address of the descriptor stack entry (dse). 2. stores an som (start of message) flag in the block status word to indicate a transfer operation in progress. 3. writes the time tag value into the descriptor stack (see time tag). 4. reads the data block address from the fourth location of the dse. 5. starts the mil-std-1553 message transfer. upon completion of the mil-std-1553 message transfer, the ct2553: 1. generates an end of message (eom) or error (if applicable) interrupt if enabled. 2. reads the stack pointer for the address of the dse. 3. updates the block status word; resets som, sets eom, and sets any applicable error bits. 4. writes the time tag value into the descriptor stack (see time tag). 5. increment pointers: stack pointer incremented by 4 and message count incremented by 1. 6. if more messages remain to be sent, a bc end of message (bceom) interrupt occurs (if enabled). if an error occurs and stop on error has been enabled, the ct2553 stops initiating bc transfer-start sequences. the stack pointer will point to the next message to be transferred (see figure 17). table 3 - typical bc memory map hex address function fixed areas 0100 stack pointer a 0101 message count a 0104 stack pointer b 0105 message count b user defined areas 0108-013f not used 0140-017f data block 1 0180-01bf data block 2 01c0-01ff data block 3 ? ? ? ? 0f00-0fff descriptor stack a 0000-00ff descriptor stack b
aeroflex circuit technology 14 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 controller start command received reads stack pointer load block status word into first word of descriptor stack entry (set som bit in block status word) load time tag into second word of descriptor stack entry address from fourth word obtain data block determine type of transfer read control word to update block status word update time tag increment stack pointer by four. decrement message count transferred ok data block ? transferred ok stop on ? error set yes no no yes no more messages ? to send yes issue bc eom stop figure 17 ? bc sequence of operation transfer data to/from 1553 bus
aeroflex circuit technology 15 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 load stack pointer load message counter with 1's complement of message count = fd (hex) start figure 18a ? bc set-up example for two message transfer bc setup implementation example figure 18a-c shows the bc mode examples for two message transfers, basic setup, and bc memory setup. load configuration register (bc mode) load starting address of first message into stack load starting address of second message into stack load first message into memory load second message into memory load start register with the value 02 figure 18b ? sample figure 18c ? bc set-up bc set-up instructions memory map given: 1. all values are in hex. 2. map area "a" is used and located from address 0000 to address 00ff. 3. message 1 located at address 0140, is a transmit command to rt# 1, subaddress #1, word count = 1, transmitted on busa. 4. message 2 located at address 0180, is a receive command to rt#3, subaddress #1, word count = 3, transmitted on bus b. 5. configuration register is assumed to be memory mapped at location 2001. start/reset register is memory mapped at location 2003. mov 2003, 0001 ; issue reset mov 2001, 0fff ; initialize configuration register mov 0100, 0000 ; initialize stack pointer mov 0101, 00fd ; initialize message count mov 0003, 0140 ; load start address of message #1 mov 0007, 0180 ; load start address of message #2 mov 0140, 0080 ; load bc control word message #1 mov 0141, 0c21 ; load command word message #1 mov 0180, 0000 ; load bc control word message #2 mov 0181, 1823 ; load command word message #2 mov 0182, 1111 ; load data word #1 message #2 mov 0183, 2222 ; load data word #2 message #2 mov 0184, 3333 ; load data word #3 message #2 mov 2003, 0002 ; issue "start" 0000 01 40 0003 descriptor stack entries 01 80 0007 0000 0100 00fd 0101 00 80 0140 0c 21 ** message #1 * * 00 00 0180 18 23 11 11 22 22 message #2 33 33 ** * * left empty for rtu?s status response. ** loop back word.
aeroflex circuit technology 16 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 rtu operation the rtu mode is selected by resetting the ct2553 and setting the appropriate bits in the configuration register. rtu memory configuration. the user configures the memory by: 1. writing the start address of the descriptor stack into the stack pointer location and 2. setting up the look-up table as described below. if both map areas (a and b) are utilized, this procedure must be performed for each area. note that the stack pointer and look-up table locations are fixed; data block(s) locations are user-defined. message blocks may be loaded anywhere in the non-fixed areas of the shared ram. however, each data block may not cross a 256 word boundary (i.e., bit 8 of the starting address of the message block must match bit 8 of the address of the last word of the message block). an example of a typical rtu memory map is given in table 4. figure 19 shows the rtu initialization steps. rtu look-up table. the rtu mode uses a look-up table in order to map the data blocks based upon incoming 1553 command words. the ct2553 uses the t/ r and subaddress fields to address the look-up table. each look-up table (a and b) location contains a user-defined data block pointer to an associated data block (see figures 20 and 21). note: the data block and stack pointers are maintained internally using an 8-bit-register for the high byte and an 8-bit counter for the low byte; the high byte remains constant (user value) while the low byte will wrap around from ff(h) to 00(h). for example: a current pointer value of 10 ff(h) will increment to 10 00(h) and not 11 00(h). the first 32 words of the look-up table are reserved for data blocks associated with receive commands (t/ r bit = 0). the remaining 32 words are reserved for data blocks associated with transmit commands (t/ r bit = 1). mode commands with data are mapped in the same manner as non-mode commands. a synchronize with data command maps to the first or thirty-second table entry (depending upon subaddress: all 0's or all 1's), while a transmit vector word command points to the thirty-third or sixty-fourth entry. table 4 ? typical rtu memory map hex address function fixed areas 0100 descriptor stack pointer a 0101 reserved 0104 descriptor stack pointer b 0105 reserved 0108-013f spare 0140-017f look-up table a 01c0-01ff look-up table b user defined areas 0180-019f data block 1 01a0-01bf data block 2 0200-021f data block 3 ? ? ? ? 0ee0-0eff data block 107 0000-00ff descriptor stack a 0f00-0fff descriptor stack b start issue reset command initialize stack pointer set up data blocks wait for 1553 command set up look-up table(s) data block assignments figure 19 ? rtu initialization initialize interrupt mask register set configuration register to rtu mode start register
aeroflex circuit technology 17 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 rtu message block transfer sequence rtu message transfer operations begin automatically upon receipt of a valid command word from the 1553 bus. a message transfer takes the form of an rtu start of message (som) cycle followed by the 1553 message transfer cycle and an rtu end of message (eom) cycle (see figure 22). during the rtu som cycle, the ct2553 the following actions: 1. loads the 1553 command word. 2. reads the current area stack pointer to get the address of the current descriptor stack entry (dse). 3. stores an som flag into the block status word to indicate a transfer in progress. 4.writes the time tag value into the the descriptor stack. 5. stores the command word received. 6. reads the associated data block address from the (current area) look-up table. the message transfer cycle refers to the actual transfer of the 1553 message under control of the ct2553. the ct2553 transfers data to and from the memory on a word-by-word basis. upon completion of the message transfer, the ct2553 executes an rtu end of message (eom) cycle during which the ct2553: 1.generates an eom or error interrupt (if enabled). 2. updates the block status word: clears som, sets eom, and any appropriate error bits. 3. writes the time tag value into the descriptor stack. 4. increments the stack pointer by 4. figure 22 ? rtu message transfer operation message complete generate eom interrupt and condition detected error interrupt if error increment stack pointer by four update block status word and time tag transfer data to/from 1553 interface device read look-up table using area bit b/ a t/ r subaddress current update descriptor stack tag and command word block status word, time read stack pointer 1553 command word received ? no yes wait for next 1553 command 15 7 6 5 4 3 2 1 0 0 0 0 0 0 0 0 1 1 current area b/ a t/r (from command word) sub-address (command word) figure 20 ? rtu look-up address received command words data block t/ r subadd word count look-up table (a) address data block 0 00000 xxxxx 0140 user defined 0 00001 xxxxx 0141 user defined 0 00010 xxxxx 0142 user defined * 64 locations * * 1 11110 xxxxx 017e user defined 1 11111 xxxxx 017f user defined figure 21 ? look-up table example
aeroflex circuit technology 18 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 additional features . four 1553 status word flags can be programmed via the appropriate configuration register bits. in addition, setting interrupt mask register bits will result in a low pulse on the interrupt ( int ) pin with each occurrence of the respective error or end of message condition. (see configuration register and interrupt register sections.) this rt: each command appearing on either 1553 bus is decoded and tested for manchester/protocol errors. if the ct2553 receives a valid command word containing a rtu address equivalent to the rtad0-rtad4 inputs (pins 10, 9, 50, 49, and 11, respectively), this-rt (pin 55) will be pulsed low. this signal can be used to identify specific 1553 commands. this signal is also active in the bc mode. command illegalization (optional). the ct2553 has the capability to illegalize mil-std-1553 mode commands. in addition, valid non-mode commands can be illegalized based upon the command word subaddress field. an illegal command is identified by driving the illegal command, illcmd (pin 12) input low. the ct2553 multiplexes the word count and subaddress fields (pins sa/mc0 - sa/mc4). the ct2553 responds to illegalized commands by transmitting its status word with the message error bit set. no data words are transmitted; received words, however, are placed in the shared ram locations indicated by the current area look-up table. upon receipt of a valid mode command, the ct2553 will output the command word-word count field and set the latched mode command (lmc) output to a logic 1. upon receipt of a valid non-mode command, the ct2553 will output the command word-subaddress field and set the latched mode command (lmc) output to a logic0. an external prom can be used for command illegalization by decoding the word count/subaddress, lmc and broadcast received ( bcstrcv ) bits and driving illcmd low where appropriate (see figure 23). busy bit . if the user asserts the busy bit low in the configuration register, the ct2553 will respond with a status word with the busy bit set. in addition, no data words will be transferred from the shared ram as indicated by the corresponding value in the current area look-up table. the ct2553 will transfer data associated with a receive command into memory but will not transmit data out onto the mil-std-1553 bus when busy upon receipt of a transmit command. lmc sa/mc0-4, t/ r illcmd latched until next valid command word received valid until next valid command word received t1 mode command illegalization timing symbol description min max units t1 lmc to illcmd latch 250 - ns figure 23 ? mode command/sub-address illegalization timing
aeroflex circuit technology 19 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 mt operation initiate a reset in order to initialize the ct2553. configure the ct2553 as a bus monitor (mt) by setting the appropriate configuration register bits. see figure 24 for mt initialization steps. mt memory configuration . the user configures the memory by writing the start address for 1553 data storage into the stack pointer location. the monitor stack will automatically wrap around once the ram has been filled (i.e., location fff(h) is followed by location 0000). an example of a typical mt memory map 4 given in table 5. mt start sequence . after setting the controller start bit in the start/reset register, the ct2553 takes the following actions: 1. reads the start address for 1553 data storage from the stack pointer location. the stack pointer location(s) will be overwritten with 1553 data once the mt mode has begun and 1553 data is written into locations 0100(h) and 0101(h)]. 2. stores the received 1553 word into memory. 3. increments the stack pointer by 1. 4. generates an identification word and stores this value into memory. 5.repeats steps 2-4 until a reset condition occurs. mt identification word . the identification word provides the cpu with information pertaining to the received 1553 word. its format is shown in figure 25, this information allows the user to analyze the 1553 data. this-rt : each command appearing on either 1553 bus is decoded and tested for manchester/protocol errors. if the ct2553 receives a valid command word containing a command sync and a rtu address equivalent to the rtad0-rtad4 inputs (pins 10, 9, 50, 49, and 11, respectively), this-rt (pin 55) will be pulsed low. this signal can be used to identify specific 1553 commands or for switching to rtu mode upon receipt of a command to this address. table 5 ? typical mt memory map hex address function 0000 first received 1553 word 0001 first identification word 0002 second received 1553 word 0003 second identification word 0004 ? 0005 ? 0006 ? ? ? ? ? 0100 stack pointer (fixed location) ? ? ? ? ffff ? msb lsb 15 8 7 6 5 4 3 2 1 0 1 gap time word flag this rt broadcast error command sync 1553 channel a/ b contiguous data mode code bit name definition gap time indicates the time between receipt of the previous and current words.time is indicated in 0.5s increments for a maximum of 128 s and goes to ff over 128s. (see word gap bit.) word flag always logic 1. this rt logic 0 indicates rt address field of the associated command or status word matches the rt address field of the ct2553. broadcast logic 0 indicates the rtu address field of the command or status word corresponds to address 31 (decimal). error logic 1 indicates manchester, parity, sync and/or low bit counter. command sync logic 1 indicates 1553 command or status word sync field. (logic 0 indicates a data word sync field in received word.) 1553 channel a/ b indicates word received on 1553 busa (1) or bus b (0). contiguous data logic 1 indicates the word was received within 2s of the previous word. if logic 0, bits 8-15 contain the measured gap between the words. mode code when logic 1, the data transferred is a mode code command. figure 25 ? mt identification word start issue reset command clear ram issue start command initialize stack pointer set configuration register to mt mode figure 24 ? mt initialization
aeroflex circuit technology 20 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 mt data storage. figure 26 shows the steps in a mt data storage operation. interrupts : sa/mc - 0 (pin 13), sa/mc - 1 (pin 52) and sa/mc - 2 (on 52) represents b6, b7, and b8 counter outputs in the mt mode. b6 counts every 32 words transferred, b7 every 64 words, and b8 every 128 words. these counter outputs can be used to generate interrupts to the subsystem to insure proper servicing of memory. the data word and identification word transfers increment the counter by two. built-in-test word (rtu mode) the ct2553 contains a 14 bit built-in-test (bit) word register which stores information about the condition of the rtu. when a mode command is received to transmit bit word, the contents of this register are transmitted over the 1553 data bus. figure 27 shows the meaning of each bit in the bit register. information is included regarding transmitter timeouts, loop test failures, transmitter shutdown, subsystem handshake failure, and the results of individual message validations. mode codes the ct2553 implements all mode codes applicable to dual redundant systems. mode codes can also be illegalized using the appropriate i/o signals. mode command illegalization and handling are detailed in the rtu operation section and listed in table 6. get stack pointer from word 100 in ram and store in internal register start command issued store retreived 1553 word in ram, increments internal address register figure 26 ? mt data storage operation store identification word in ram, increment internal address register no word transferred across 1553 bus ? yes 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0 0 chan b xmitter timeout chan a xmitter timeout chan b loop test failure chan a loop test failure chan b xmitter shutdown chan a xmitter shutdown non-mode broadcast cmd to xmit message high word count message low word count illegal mode code or illegal broadcast with mode code mode code or t/ r error chan a/b loop test failure handshake failure chan a/b xmitter timeout notes: (1) bits 0-2 and 10-13 are latched and only cleared by a mode reset command or a master reset . (2) bits 3-7 are cleared at the start of each new message and updated at the end of the message. they reflect the present command word. (3) bits 8-9 are set by the mode command for transmitter shutdown and are cleared by the mode command for override transmitter shutdown, reset rt or a master reset . figure 27 ? built-in-test word (rtu mode)
aeroflex circuit technology 21 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 dynamic bus control (00000) message sequence = dbc * status the ct2553 responds with status. if the subsystem wants control of the bus, it must set dbacc within 2.5us after nbgrt. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error (bit word). 5. broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code (bit word). synchronize without data word (00001) message sequence = sync * status the ct2553 responds with status. if sent as a broadcast, the broadcast receive bit will be set and status response suppressed. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error (bit word). transmit status word (00010) message sequence = transmit status * status the status and bit word registers are not altered by this command and contain the resulting status from the previous command. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error (bit word). 5. broadcast address. no status response. bits set: message error, broadcast received (s/w), illegal mode code, t/r error (bit word). initiate self-test (00011) message sequence = self test * status the ct2553 responds with a status word. if the command was broadcast, the broadcast received bit is set and status transmission suppressed. short-loop test is initiated on the status word transmitted. if the test fails, an rt fail flag is generated. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), t/r error (bit word). 5. faulty test. bits set: terminal flag (sw), a/b loop test fail, current 1553 bus (a or b) loop test fail (bit word). transmitter shutdown (00100) message sequence - shutdown * status this command is only used with dual redundant bus systems. the ct2553 responds with status. at the end of the status transmission, the ct2553 inhibits any further transmission from the dual redundant channe l. once shutdown, the transmitter can only be re-activated by override transmitter shutdown or reset rt commands. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error (bit word). table 6 ? mode codes
aeroflex circuit technology 22 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 override transmitter shutdown (00101) message sequence - override shutdown - status this command is only used with dual redundant bus systems. the ct2553 responds with status. at the end of the status transmiss ion, the ct2553 re-enables the transmitter of the redundant bus. if the command was broadcast, the broadcast received bit is set and sta tus transmission is suppressed. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error (bit word). inhibit terminal flag bit (00110) message sequence - inhibit terminal flag * status the ct2553 responds with status and inhibits further internal or external setting of the terminal flag bit in the status registe r. once the terminal flag has been inhibited, it can only be reactivated by an override inhibit terminal flag or reset rt command. if the c ommand was broadcast, the broadcast received bit is set and status transmission is suppressed. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), t/r error (bit word). override inhibit terminal flag bit (00111) message sequence - override inhibit terminal flag * status the rtu responds with status and reactivates the terminal flag bit in the status register. if the command was broadcast, the bro adcast received bit is set and status transmission is suppressed. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), t/r error (bit word). reset remote terminal (01000) message sequence - reset remote terminal * status the ct2553 responds with status and internally resets. transmitter shutdown, mode commands, and inhibit terminal flag commands w ill be reset. if the command was broadcast, the broadcast received bit is set and the status word is suppressed. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), t/r error (bit word). reserved mode codes (01001-01111) message sequence = reserved mode codes * status the ct2553 responds with status. if the command is illegalized through an optional prom, the message error bit is set and only the status word is transmitted. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), illegal mode code (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code (bit word). table 6 ? mode codes (continued)
aeroflex circuit technology 23 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 transmit vector word (10000) message sequence - transmit vector word * status vector word the ct2553 transmits a status word followed by a vector word. the contents of the vector word (from the subsystem) are enabled onto dbo-db15 with busreq after the command transfer (same as data word in a normal transmit command). error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw) high word count (bit word). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error, low word count (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error, low word count (bit word). 5. broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, (bit word). synchronize with data word (10001) message sequence - synchronize data word * status the data word received following the command word is transferred to the subsystem. the status register is then enabled and its contents transferred onto the data bus and transmitted. if the command was broadcast, the broadcast received bit is set and status trans mission is suppressed. error conditions 1. invalid command. no response, command ignored. 2. command not followed by data word. no status response. bits set: message error (sw), low word count (bit word). 3. command followed by too many data words. no status response. bits set: message error (sw), high word count (bit word). 4. command t/r bit set to one. no status response. bits set: message error (sw), t/r error, high word count (bit word). 5. command, t/r bit set to one and broadcast address. no status response. bits set: message error, broadcast received (sw), high word count, t/r error (bit word). transmit last command (10010) message sequence = transmit last command * status last command the status and bit word registers are not altered by this command. the sw contains the status from the previous command. the data word transmitted contains the previous valid command (providing it was not another transmit last command). error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error, low word count (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, (sw), illegal mode code t/r error (bit word). 5. broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code (bit word). transmit bit word (10011) message sequence - transmit bit word * status bit word the ct2553 transmits a status word followed by the bit word . when activated, biten allows the subsystem to latch the bit word o n the parallel data bus. the bit word is not altered by this command; however, the next sw will reflect errors in this transmission. error conditions 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw). 3. t/r bit set to zero. no status response. bits set: message error (sw), t/r error, low word count (bit word). 4. zero t/r bit and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, t/r error, low word count (bit word). 5. broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, (bit word). table 6 ? mode codes (continued)
aeroflex circuit technology 24 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 selected transmitter shutdown (10100) message sequence - transmitter shutdown data * status the data word received is transferred to the subsystem and status is transmitted. if the command was broadcast, the broadcast received bit is set and status transmission suppressed. intended for use with rts with mor e than one dual redundant channel. error conditions 1. invalid command. no response, command ignored. 2. command not followed by data word. no status response. bits set: message error (sw), high word count, illegal mode code (bit word). 3. command followed by too many data words. no status response. bits set: message error (sw), low word count, illegal mode code (bit word). 4. command t/r bit set to one. no status response. bits set: message error (sw), illegal mode code, high word count (bit word). 5. command t/r bit set to one and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, high word count (bit word). override selected transmitter shutdown (10101) message sequence - transmitter shutdown data * status the data word received after the command word is transferred to the subsystem. if the command was broadcast, the broadcast received bit is set and status transmission suppressed. error conditions 1. invalid command. no response, command ignored. 2. command not followed by data word. no status response. bits set: message error (sw), low word count, illegal mode code (bit word). 3. command followed by too many data words. no status response. bits set: message error (sw), high word count, illegal mode code (bit word). 4. command t/r bit set to one. no status response. bits set: message error (sw), illegal mode code, high word count (bit word). 5. command t/r bit set to one and broadcast address. no status response. bits set: message error, broadcast received (sw), illegal mode code, high word count, t/r (bit word). reserved mode codes message sequence = reserved mode code (t/r = 1) * status reserved mode code (t/r = 0) * status the ct2553 responds with status. if the command was broadcast, the broadcast received bit is set and status transmission suppre ssed. error conditions (t/r = 1) 1. invalid command. no response, command ignored. 2. command followed by data word. no status response. bits set: message error (sw), high word count, illegal mode code (bit word). error conditions (t/r = 0) 1. invalid command. no response, command ignored. 2. command not followed by contiguous data word. no status response. bits set: message error (sw), high word count, illegal mode code (bit word). 3. command followed by too many data words. no status response. bits set: message error (sw), high word count, illegal mode code (bit word). table 6 ? mode codes (continued)
aeroflex circuit technology 25 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 a02 a01 a00 ssflag , ssbusy , svcrqst dbac , rtu/ bc , mt , ctloutb/ a mem/ reg d15-d00 ioen select strbd (internal) 16mhz clock rd/ wr readyd see note 1 td1 td2 td8 tpw1 td7 td9 data latched configuration register only data valid figure 28 ? cpu writes to internal register cpu writes to internal register symbol description min max units td1 readyd low delay (cpu handshake) - 150 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 50 - ns td7 internal register delay (write) - 60 ns td8 register data/address set-up time - 30 ns td9 register data/address hold time - 0 ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s tz tr see note 2 note: 1. strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. 2. cpu must release strbd within 1.5s of ioen going active. readyd will go away within one clock cycle maximum.
aeroflex circuit technology 26 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 a02 a01 a00 mem/ reg d15-d00 ioen select strbd ) (internal) 16mhz clock rd/ wr readyd see note 1 td1 td8 tpw1 cpu data extld td9 valid valid tpw6 td5 td2 figure 29 ? cpu writes to external register cpu writes to external register symbol description min max units td1 readyd low delay (cpu handshake) - 150 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 50 - ns td5 extld low delay 50 - ns td8 register data/address set-up time - 30 ns td9 register data/address set-up time - 0 ns tpw6 extld low pulse width 56 - ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s tz tr see note 2 note: 1. strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. 2. cpu must release strbd within 1.5s of ioen going active. readyd will go away within one clock cycle maximum.
aeroflex circuit technology 27 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 memoe mem/ reg a15-a00 ioen select strbd (internal) 16mhz clock rd/ wr readyd see note 1 td1 tpw1 d15-d00 td2 ram address valid td4 ram data valid memcs figure 30 ? cpu reads from ram timing cpu reads from ram symbol description min max units td1 readyd low delay (cpu handshake) - 150 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 50 - ns td4 cpu memoe low delay - 100 ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s note: 1. strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. 2. cpu must release strbd within 1.5s of ioen going active. readyd will go away within one clock cycle maximum. see note 2 tz tr (internal)
aeroflex circuit technology 28 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 memwr mem/ reg a15-a00 ioen select strbd (internal) 16mhz clock rd/ wr readyd see note 1 td1 tpw1 d15-d00 td2 ram address valid td3 ram data valid memena-out tpw2 figure 31 ? cpu writes to ram timing cpu writes to ram symbol description min max units td1 readyd low delay (cpu handshake) - 150 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 50 - ns td3 cpu memwr low delay - 120 ns tpw2 cpu memwr low pulse width 70 - ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s note: 1. strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. 2. cpu must release strbd within 1.5s of ioen going active. readyd will go away within one clock cycle maximum. see note 2 tz tr
aeroflex circuit technology 29 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 a02 (38) a01 a00 mem/ reg d15-d00 ioen select strbd (internal) 16mhz clock rd/ wr readyd see note td1 td8 tpw1 data from external register exten td2 figure 32 ? cpu reads from external register timing note: strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. cpu reads from external register timing symbol description min max units td1 readyd low delay (cpu handshake) - 150 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 50 - ns td8 register data/address set-up time - 40 ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s tz tr
aeroflex circuit technology 30 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 a02 a01 a00 ssflag , ssbusy , svcrqst dbac , rtu/ bc , mt , ctlinb/ a mem/ reg d15-d00 ioen select strbd (internal) 16mhz clock rd/ wr readyd see note 1 data valid td1 tpw1 td2 td6 figure 33 ? cpu reads from internal register timing cpu reads from internal register symbol description min max units td1 readyd low delay (cpu handshake) - 200 ns td2 ioen high delay (cpu handshake) - 20 ns tpw1 readyd pulse width (cpu handshake) 70 - ns td6 internal register delay (read) - 60 ns tr readyd to strbd release - 1.37 s tz ( select ? strbd ) to ioen - 1.8 s see note 2 tz tr note: 1. strbd to ioen (low) delay is two clock cycles. if contention occurs, delay is two clock cycles following release of bus. 2. cpu must release strbd within 1.5s of ioen going active. readyd will go away within one clock cycle maximum.
aeroflex circuit technology 31 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 table 7a ? ct2553 pin function table (78 pin dip) pin name i ih (a) i il (ma) i oh (a) i ol (ma) description 1 d00 (5) -0.4 -400 3.6 i/o data bus bit 0 (lsb). 2 d02 (5) -0.4 -400 3.6 i/o data bus bit 2. 3 d04 (5) -0.4 -400 3.6 i/o data bus bit 4. 4 d06 (5) -0.4 -400 3.6 i/o data bus bit 6. 5 d08 (5) -0.4 -400 3.6 i/o data bus bit 8. 6 d10 (5) -0.4 -400 3.6 i/o data bus bit 10. 7 d12 (5) -0.4 -400 3.6 i/o data bus bit 12. 8 d14 (5) -0.4 -400 3.6 i/o data bus bit 14. 9 rtad1 (5) -0.4 - - remote terminal address bit 1. 10 rtad0 (5) -0.4 - - remote terminal address bit 0 (lsb) 11 rtad4 (5) -0.4 - - remote terminal address bit 4 (msb) 12 illcmd +10 0.01 - - legal command. defines the received command as illegal. 13 sa/mc-0 - - -400 2.0 subaddress/mode command bit 0. multiplexed output bit-0 of subaddress/word count field of the current command word. sa/mc determined by the state of lmc. 14 logic +5v - - - - +5v supply input for digital logic section. b6 counter. 15 sa/mc-1 - - -400 2.0 subaddress/mode command bit 1. in mt mode, pulses every time 32 words have been stored. b7 counter. 16 bcstrcv - - -400 2.0 broadcast received. indicates current command is a 1553 broadcast command. 17 lmc - - -400 2.0 latched mode command. logic 1 indicates current command word is a mode code and selects mc0-mc4. logic 0 indicates non-mode comrnand and selects sa0-sa4. 18 -15v - - - - -15v input power supply connection for the b channel transceiver. 19 gndb - - - - ground b. power supply return connection for the b channel transceiver. 20 tx/rx-b - - - - transmit/receive transceiver-b. input/output to the coupling transformer that connects to the b channel of the 1553 bus. 21 logic gnd - - - - logic ground. power supply return for the digital logic section. 22 a01 (5) -0.4 -400 3.6 address bit 1 23 a03 (5) -0.4 -400 3.6 address bit 3 24 a05 (5) -0.4 -400 3.6 address bit 5 25 a07 (5) -0.4 -400 3.6 address bit 7 26 a09 (5) -0.4 -400 3.6 address bit 9 27 a11 (5) -0.4 -400 3.6 address bit 11 28 a13 (5) -0.4 -400 3.6 address bit 13 29 a15 (5) -0.4 -400 3.6 address bit 15 (msb) 30 memoe -400 4.0 memory output enable. a logic 0 used to enable data output from memory when the 1553 or cpu reads from memory. 31 memena-out - - -400 4.0 memory enable out. low level output to enable external ram. used with memoe to read data or with memwr to write data into external ram. 32 clock in 20 0.02 - - clock input. 16 mhz ttl clock. 33 mem/ reg (6) -0.7 - - memory/register. input from cpu to select memory or register data transfer. 34 strbd (6) -0.7 - - strobe data. used in conjunction with select to initiate a data transfer cycle to/from cpu. 35 exten - - external enable. used to load data into external devices. 36 rd/ wr (6) -0.7 - - read/write. input from the cpu which defines the data bus transfer as a read or write operation. 37 extld - - external load. used to load data into external devices. 38 gnda - - - - ground a. power supply return connection for the a channel transceiver. 39 -15va - - - - -i5v input power supply connection for the a channel transceiver. 40 tx/rx-a - - - - transmit/receive transceiver-a. input/output to the coupling transformer that connects to the a channel of the 1553 bus. 41 d01 (5) -0.4 -400 3.6 i/o data bus bit 1. 42 d03 (5) -0.4 -400 3.6 i/o data bus bit 3. 43 d05 (5) -0.4 -400 3.6 i/o data bus bit 5 44 d07 (5) -0.4 -400 3.6 i/o data bus bit 7.
aeroflex circuit technology 32 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 45 d09 (5) -0.4 -400 3.6 i/o data bus bit 9. 46 d11 (5) -0.4 -400 3.6 i/o data bus bit 11. 47 d13 (5) -0.4 -400 3.6 i/o data bus bit 13. 48 d15 (5) -0.4 -400 3.6 i/o data bus bit 15 (msb). 49 rtad3 (5) -0.4 - - remote terminal address bit 3. 50 rtad2 (5) -0.4 - - remote terminal address bit 2. 51 rtadp (5) -0.4 - - remote terminal address parity input. 52 sa/mc-2 - - -400 2.0 subaddress/mode command bit 2. b8 (msb) counter. 53 sa/mc-4 - - -400 2.0 subaddress/mode command bit 4. 54 sa/mc-3 - - -400 2.0 subaddress/mode command bit 3. 55 this-rt - - -400 2.0 logic 0 pulse indicates receipt of a valid command word which contains the remote terminal address equivalent to the rtado-rtad4 inputs. 56 rtparerr - - -400 2.0 rtu (address) parity error. logic 0 indicates rtu address parity (odd parity: rtado-rtad4, rtadp) has been violated. 57 t/ r - - -400 2.0 transmit/receive 1553 data. latched t/r bit from current command word. 58 +5vb - - - - +5v power supply connection for the b channel transceiver. 59 tx/rx-b - - - - transmit/receive transceiver-b. inverted i/o to coupling transformer that connects to channel b of the 1553 bus. 60 a00 (5) -0.4 -400 3.6 address bit 0 (lsb). 61 a02 (5) -0.4 -400 3.6 address bit 2. 62 a04 (5) -0.4 -400 3.6 address bit 4. 63 a06 (5) -0.4 -400 3.6 address bit 6. 64 a08 (5) -0.4 -400 3.6 address bit 8. 65 a10 (5) -0.4 -400 3.6 address bit 10. 66 a12 (5) -0.4 -400 3.6 address bit 12. 67 a14 (5) -0.4 -400 3.6 address bit 14. 68 memwr - - -400 4.0 memory write. output pulse to write data into memory. 69 memena-in 20 0.02 - - memory enable in. enables internal ram only; connect directly to memena-out . 70 incmd - - -400 2.0 in command. indicates bc or rtu currently in message transfer sequence. 71 mstrclr (6) -0.7 - - master clear. power-on reset from cpu. 72 int - - -400 4.0 interrupt. interrupt pulse line to cpu. 73 ioen - - -400 4.0 input/output enable. output to enable external hybrid to the address/data bus. 74 select (6) -0.7 - - select. input from the cpu. when active, selects ct2553 for operation. 75 readyd - - -400 4.0 ready data. when active indicates data has been received from, or is available to, the cpu. 76 tagen - - -400 4.0 tag enable. enables an external time to counter for transferring the time tag word into memory. 77 +5va - - - - +5v input/power supply for channel a transceiver. 78 tx/rx-a - - - - transmit/receive transceiver-a. inverted i/o to the coupling transformer that connects to the a channel of the 1553 bus. 1. i ih is specified at: v cc = 5.5v, v ih = 2.7v. 2. i il is specified at: v cc = 5.5v, v il = 0.4v. 3. i oh is specified at: v cc = 4.5v, v ih = 2.4v. 4. i ol is specified at: v cc = 4.5v, v ih = 0.4v. 5. internal pull-up resistor = 30k ohms, typ. 6. internal pull-up resistor = 16k ohms, typ. 7. pin 13 = b6, pin 15 = b7 and pin 52 = b8 (msb). b6, b7 and b8 are the msb lines of an 8 bit counter used in the bc and mt mode to count 32 word transfers to memory (16 words received off the bus) for a total of 128 data and tag words (in mt mode). (see pages 19 & 20 for discussion.) table 7a ? ct2553 pin function table (78 pin dip) (continued) pin name i ih (a) i il (ma) i oh (a) i ol (ma) description
aeroflex circuit technology 33 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 21 60 22 61 23 62 24 63 25 64 26 65 27 66 28 67 29 68 30 69 31 70 32 71 33 72 34 73 35 74 36 75 37 76 38 77 39 78 40 1 41 2 42 3 43 4 44 5 45 6 46 7 47 8 48 9 49 10 50 11 51 12 52 13 53 14 54 15 55 16 56 17 57 18 58 19 59 20 d00 d01 d02 d03 d04 d05 d06 d07 d08 d09 d10 d11 d12 d13 d14 d15 rtad1 rtad3 rtad0 rtad2 rtad4 rtadp illcmd sa/mc-2 sa/mc-0 sa/mc-4 logic +5v sa/mc-3 sa/mc-1 this-rt bcstrcv rtparerr lmc t/ r -15vb +5vb gndb tx/rx-b tx/rx-b logic gnd a00 a01 a02 a03 a04 a05 a06 a07 a08 a09 a10 a11 a12 a13 a14 a15 memwr memoe memena-in memena-out incmd clock in mstrclr mem/ reg int strbd ioen exten select rd/ wr readyd extld tagen gnda +5va -15va tx/rx-a tx/rx-a ct2553 mil-std-1553 bus controller, remote terminal and dip pin connection diagram, ct2553 and pinout table 7b ? ct2553 pin out description (dip) pin # function pin # function 1 d00 40 tx/rx-a 2 d02 41 d01 3 d04 42 d03 4 d06 43 d05 5 d08 44 d07 6 d10 45 d09 7 d12 46 d11 8 d14 47 d13 9 rtad1 48 d15 10 rtad0 49 rtad3 11 rtad4 50 rtad2 12 illcmd 51 rtadp 13 sa/mc-0 52 sa/mc-2 14 logic +5v 53 sa/mc-4 15 sa/mc-1 54 sa/mc-3 16 bcstrcv 55 this-rt 17 lmc 56 rtparerr 18 -15vb 57 t/ r 19 gndb 58 +5vb 20 tx/rx-b 59 tx/rx-b 21 logic gnd 60 a00 22 a01 61 a02 23 a03 62 a04 24 a05 63 a06 25 a07 64 a08 26 a09 65 a10 27 a11 66 a12 28 a13 67 a14 29 a15 68 memwr 30 memoe 69 memena-in 31 memena-out 70 incmd 32 clock in 71 mstrclr 33 mem/ reg 72 int 34 strbd 73 ioen 35 exten 74 select 36 rd/ wr 75 readyd 37 extld 76 tagen 38 gnda 77 +5va 39 -15va 78 tx/rx-a bus monitor
aeroflex circuit technology 34 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 d00 d01 d02 d03 d04 d05 d06 d07 d08 d09 d10 d11 d12 d13 d14 d15 rtad1 rtad3 rtad0 rtad2 rtad4 rtadp illcmd sa/mc-2 sa/mc-0 sa/mc-4 logic +5v sa/mc-3 sa/mc-1 this-rt bcstrcv rtparerr lmc t/ r -15vb +5vb gndb tx/rx-b tx/rx-b logic gnd a00 a01 a02 a03 a04 a05 a06 a07 a08 a09 a10 a11 a12 a13 a14 a15 memwr memoe memena-in memena-out incmd clock in mstrclr mem/ reg int strbd ioen exten select rd/ wr readyd extld tagen gnd a +5va -15va tx/rx-a tx/rx-a table 8 ? ct2566 pin out description (fp) pin # function pin # function 1 n/c 42 n/c 2 d00 43 tx/rx-a 3 d01 44 tx/rx-a 4 d02 45 -15va 5 d03 46 +5va 6 d04 47 gnd a 7 d05 48 tagen 8 d06 49 extld 9 d07 50 readyd 10 d08 51 rd/ wr 11 d09 52 select 12 d10 53 exten 13 d11 54 ioen 14 d12 55 strbd 15 d13 56 int 16 d14 57 mem/ reg 17 d15 58 mstrclr 18 rtad1 59 clock in 19 rtad3 60 incmd 20 rtad0 61 memena-out 21 rtad2 62 memena-in 22 rtad4 63 memoe 23 rtadp 64 memwr 24 illcmd 65 a15 25 sa/mc-2 66 a14 26 sa/mc-0 67 a13 27 sa/mc-4 68 a12 28 logic +5v 69 a11 29 sa/mc-3 70 a10 30 sa/mc-1 71 a09 31 this-rt 72 a08 32 bcstrcv 73 a07 33 rtparerr 74 a06 34 lmc 75 a05 35 t/ r 76 a04 36 -15vb 77 a03 37 +5vb 78 a02 38 gndb 79 a01 39 tx/rx-b 80 a00 40 tx/rx-b 81 logic gnd 41 n/c 82 n/c flat package pin connection diagram, ct2553 and pinout 1 2 n/c n/c n/c n/c 80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 82 81 ct2553fp mil-std-1553 bus controller, remote terminal and bus monitor
aeroflex circuit technology 35 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 .100 2.100 1.500 typ lead 1 & esd designator 1.900 1.800 pin 19 pin 20 pin 59 pin 41 pin 2 .050 typ 1.650 1.870 .100 .110 pin 1 .250 .250 max pin 39 pin 40 pin 78 pin 22 pin 21 pin 60 .018 dia typ .080 .180 max .010 .002 .015 2.000 pin 42 .095 pin 41 2.200 max lead 1 & esd designator 1.610 max designator max .400 min .050 lead centers 41 leads/side (4 places) pin 82 .050 figure 23 ? plug in package outline figure 24 ? flat package outline
aeroflex circuit technology 36 scdct2553 rev b 8/6/99 plainview ny (516) 694-6700 circuit technology aeroflex circuit technology 35 south service road plainview new york 11803 telephone: (516) 694-6700 fax: (516) 694-6715 toll free inquiries: (800) the-1553 specifications subject to change without notice www.aeroflex.com/act1.htm e-mail: sales-act@aeroflex.com ordering information model number screening power supply package ct2553 military temperature, -55c to +125c, screened to the individual test methods of mil-std-883 +5v, -15v plug in ct2553-fp flat package ct2554 +5v, -12v plug in ct2554-fp flat package * ct2555 +5v only plug in * ct2555-fp flat package ** ct2556 plug in ** CT2556-FP flat package * contact factory ** transceiverless ? contact factory


▲Up To Search▲   

 
Price & Availability of CT2556-FP

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X